OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Taster_BUS_UCF.ucf] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

#########################################
# File : UB_Taster_BUS_UCF.ucf
# Autor : UB
#
# Constraint-File fuer die 4 Buttons
# auf dem Spartan-3A Board
#
# als 4bit BUS
#
# Signal ist bei gedruecktem Taster = Hi
#
# unbenutzte Netze per '#' deaktivieren
#
#########################################

NET "BTN_IN<0>" LOC = "T16" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
NET "BTN_IN<1>" LOC = "T14" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
NET "BTN_IN<2>" LOC = "T15" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
NET "BTN_IN<3>" LOC = "U15" | IOSTANDARD = LVCMOS33 | PULLDOWN ;

#########################################
# Port-Zuweisungen
#########################################
#
#
# BTN_IN : in std_logic_vector(3 downto 0);
# 
#########################################

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.