OpenCores
URL https://opencores.org/ocsvn/ddr2_sdram/ddr2_sdram/trunk

Subversion Repositories ddr2_sdram

[/] [ddr2_sdram/] [trunk/] [UB_Y-Led_UCF.ucf] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

#########################################
# File : UB_Y-LED_UCF.ucf
# Autor : UB
#
# Constraint-File fuer die Gelbe LED
# (AWAKE) auf dem Spartan-3A Board
#
#
# LED leuchtet bei HI
#
# unbenutzte Netze per '#' deaktivieren
#
#########################################

# um die LED zu nutzen, muss der Suspend-Mode
# ausgeschaltet werden

CONFIG ENABLE_SUSPEND = NO ;
NET "LED_YELLOW_OUT" LOC = "AB15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8 ;


#########################################
# Port-Zuweisungen
#########################################
#
#
# LED_YELLOW_OUT : out std_logic;
# 
#########################################

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.