OpenCores
URL https://opencores.org/ocsvn/fluid_core_2/fluid_core_2/trunk

Subversion Repositories fluid_core_2

[/] [fluid_core_2/] [trunk/] [xilinx14.5 project/] [check.wcfg] - Rev 4

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="C:/Users/Azmath/Documents/M Tech Project/FC2/tb_Test_Bed_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="glbl" />
            <top_module name="tb_Test_Bed" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="13" />
   <wvobject fp_name="/tb_Test_Bed/Clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">Clk</obj_property>
      <obj_property name="ObjectShortName">Clk</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/RST" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">RST</obj_property>
      <obj_property name="ObjectShortName">RST</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/Interrupt[1]" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">[1]</obj_property>
      <obj_property name="ObjectShortName">Interrupt[1]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PC" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">PC[0:5]</obj_property>
      <obj_property name="ObjectShortName">PC[0:5]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/IF_ID_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">IF_ID_reg[0:15]</obj_property>
      <obj_property name="ObjectShortName">IF_ID_reg[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uop" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">uop[0:12]</obj_property>
      <obj_property name="ObjectShortName">uop[0:12]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uop_vector" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">uop_vector[0:4]</obj_property>
      <obj_property name="ObjectShortName">uop_vector[0:4]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/ID_Stage_inst/ID_EX_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ID_EX_reg[0:118]</obj_property>
      <obj_property name="ObjectShortName">ID_EX_reg[0:118]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/EX_Stage_inst/EX_MEM_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">EX_MEM_reg[0:72]</obj_property>
      <obj_property name="ObjectShortName">EX_MEM_reg[0:72]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/MEM_Stage_inst/MEM_WB_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">MEM_WB_reg[0:38]</obj_property>
      <obj_property name="ObjectShortName">MEM_WB_reg[0:38]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/MEM_Stage_inst/branch" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">branch</obj_property>
      <obj_property name="ObjectShortName">branch</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="ObjectShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[0]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[0,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[0,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[1]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[1,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[1,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[2]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[2,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[2,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[3]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[3,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[3,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[4]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[4,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[4,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[5]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[5,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[5,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[6]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[6,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[6,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[7]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[7,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[7,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/HLT" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">HLT</obj_property>
      <obj_property name="ObjectShortName">HLT</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.