OpenCores
URL https://opencores.org/ocsvn/fluid_core_2/fluid_core_2/trunk

Subversion Repositories fluid_core_2

[/] [fluid_core_2/] [trunk/] [xilinx14.5 project/] [intr_check.wcfg] - Rev 4

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="C:/Users/Azmath/Documents/M Tech Project/FC2/tb_Test_Bed_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="glbl" />
            <top_module name="tb_Test_Bed" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="20" />
   <wvobject fp_name="/tb_Test_Bed/Clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">Clk</obj_property>
      <obj_property name="ObjectShortName">Clk</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/RST" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">RST</obj_property>
      <obj_property name="ObjectShortName">RST</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/intr_vector" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">intr_vector[0:5]</obj_property>
      <obj_property name="ObjectShortName">intr_vector[0:5]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Return" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">Return</obj_property>
      <obj_property name="ObjectShortName">Return</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/branch_target" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">branch_target[0:5]</obj_property>
      <obj_property name="ObjectShortName">branch_target[0:5]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PC" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">PC[0:5]</obj_property>
      <obj_property name="ObjectShortName">PC[0:5]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/exInstruction" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">exInstruction[0:15]</obj_property>
      <obj_property name="ObjectShortName">exInstruction[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/IR" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">IR[0:15]</obj_property>
      <obj_property name="ObjectShortName">IR[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/IF_ID_reg" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">IF_ID_reg[0:15]</obj_property>
      <obj_property name="ObjectShortName">IF_ID_reg[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_ID_reg/next_stage" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">next_stage[0:15]</obj_property>
      <obj_property name="ObjectShortName">next_stage[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/intr_req" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">intr_req[0:3]</obj_property>
      <obj_property name="ObjectShortName">intr_req[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="ObjectShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[0]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[0,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[0,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[1]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[1,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[1,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[2]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[2,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[2,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[3]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[3,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[3,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[4]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[4,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[4,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[5]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[5,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[5,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[6]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[6,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[6,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[7]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[7,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[7,0:31]</obj_property>
         <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/intr" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">intr</obj_property>
      <obj_property name="ObjectShortName">intr</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/temp_unblock" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">temp_unblock</obj_property>
      <obj_property name="ObjectShortName">temp_unblock</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/masks" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">masks[0:3]</obj_property>
      <obj_property name="ObjectShortName">masks[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/vector" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">vector[0:5]</obj_property>
      <obj_property name="ObjectShortName">vector[0:5]</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/interrupt_unit_inst/isr_vectors" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">isr_vectors[0:4,0:5]</obj_property>
      <obj_property name="ObjectShortName">isr_vectors[0:4,0:5]</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/EX_Stage_inst/Flag" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">Flag[0:3]</obj_property>
      <obj_property name="ObjectShortName">Flag[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStack" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">PCStack[0:3,0:9]</obj_property>
      <obj_property name="ObjectShortName">PCStack[0:3,0:9]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStack[0]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[0,0:9]</obj_property>
         <obj_property name="ObjectShortName">PCStack[0,0:9]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStack[1]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[1,0:9]</obj_property>
         <obj_property name="ObjectShortName">PCStack[1,0:9]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStack[2]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[2,0:9]</obj_property>
         <obj_property name="ObjectShortName">PCStack[2,0:9]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStack[3]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[3,0:9]</obj_property>
         <obj_property name="ObjectShortName">PCStack[3,0:9]</obj_property>
         <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/IF_Stage_inst/PCStackPtr" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">PCStackPtr[0:1]</obj_property>
      <obj_property name="ObjectShortName">PCStackPtr[0:1]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.