OpenCores
URL https://opencores.org/ocsvn/fluid_core_2/fluid_core_2/trunk

Subversion Repositories fluid_core_2

[/] [fluid_core_2/] [trunk/] [xilinx14.5 project/] [isim/] [tb_Test_Bed_isim_beh.exe.sim/] [work/] [tb_Test_Bed_isim_beh.exe_main.c] - Rev 4

Compare with Previous | Blame | View Log

/**********************************************************************/
/*   ____  ____                                                       */
/*  /   /\/   /                                                       */
/* /___/  \  /                                                        */
/* \   \   \/                                                       */
/*  \   \        Copyright (c) 2003-2009 Xilinx, Inc.                */
/*  /   /          All Right Reserved.                                 */
/* /---/   /\                                                         */
/* \   \  /  \                                                      */
/*  \___\/\___\                                                    */
/***********************************************************************/
 
#include "xsi.h"
 
struct XSI_INFO xsi_info;
 
 
 
int main(int argc, char **argv)
{
    xsi_init_design(argc, argv);
    xsi_register_info(&xsi_info);
 
    xsi_register_min_prec_unit(-12);
    work_m_00000000000098075592_1975986563_init();
    work_m_00000000002541112824_0280760099_init();
    work_m_00000000002541112824_1586693055_init();
    work_m_00000000002541112824_1534125398_init();
    work_m_00000000002541112824_2794890496_init();
    work_m_00000000001085280695_4205540194_init();
    work_m_00000000001344364523_3207835014_init();
    work_m_00000000003223294988_4161205465_init();
    work_m_00000000003881887383_1832149579_init();
    work_m_00000000001744756924_3230872443_init();
    work_m_00000000000115518876_1088307948_init();
    work_m_00000000003831710732_1277932046_init();
    work_m_00000000000904347666_2598732222_init();
    work_m_00000000003226048090_4288183145_init();
    work_m_00000000003215793394_1742042216_init();
    work_m_00000000002117167501_3908322909_init();
    work_m_00000000004105140779_2442001628_init();
    work_m_00000000000918146209_2147438539_init();
    work_m_00000000004019918008_1720460815_init();
    work_m_00000000000693606588_0539455741_init();
    work_m_00000000002718428781_3905339474_init();
    work_m_00000000000231553728_0771761976_init();
    work_m_00000000004134447467_2073120511_init();
 
 
    xsi_register_tops("work_m_00000000000231553728_0771761976");
    xsi_register_tops("work_m_00000000004134447467_2073120511");
 
 
    return xsi_run_simulation(argc, argv);
 
}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.