OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [shiftr/] [sim.do] - Rev 2

Compare with Previous | Blame | View Log

vlib work

quit -sim
vlog gensrl.v
vlog shiftr.v
vlog shiftr_tb.v

vsim -L unisims_ver -voptargs=+acc shiftr_tb

add wave \
{sim:/shiftr_tb/dut/en_in } \
{sim:/shiftr_tb/dut/en_out } \
{sim:/shiftr_tb/dut/clk } \
{sim:/shiftr_tb/dut/rst } \
{sim:/shiftr_tb/dut/data_in } \
{sim:/shiftr_tb/dut/data_out } \
{sim:/shiftr_tb/dut/size } \
{sim:/shiftr_tb/dut/empty } 
run 10ns

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.