OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [mux2/] [lpm_mux2_tb.v] - Rev 2

Compare with Previous | Blame | View Log

// LPM Mux Testbench
//
 
module lpm_mux2_tb;
 
reg [7:0] 	in0;
reg [7:0] 	in1;
reg			s;
wire [7:0]	out;
 
lpm_mux2 #(
	.WIDTH(8)
) dut (
	.in0(in0),
	.in1(in1),
	.s(s),
	.out(out)
);
 
initial
begin
	in0 = 10;
	in1 = 20;
	s = 0;
	#10 s = 1;
	#10 s = 0;
end
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.