OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [lpm/] [stopar/] [sim.do] - Rev 2

Compare with Previous | Blame | View Log

quit -sim
vlog lpm_stopar.v
vlog lpm_stopar_tb.v

vsim -L unisims_ver -voptargs=+acc lpm_stopar_tb

add wave -hex sim:/lpm_stopar_tb/*
run 200ns

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.