OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.accelerator/] [dct_to_hibi/] [1.0/] [dct_to_hibi.1.0.xml] - Rev 181

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 05.07.2012 -->
<!-- Time: 08:53:06 -->
<spirit:component xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
        <spirit:vendor>TUT</spirit:vendor>
        <spirit:library>ip.hwp.accelerator</spirit:library>
        <spirit:name>dct_to_hibi</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:description>DCT to Hibi. Connects dctQidct block to HIBI Wrapper

Input:
1.  Address to send the results to quant
2.  Address to send the results to idct (set unused address if you don't use this)
2. Control word for the current macroblock
    Control word structure: bit    6: chroma(1)/luma(0) NOT USED, 
                                5: intra(1)/inter(0),
                                                   4..0: quantizer parameter (QP)
3. Then the DCT data ( 8x8x6 x 16-bit values = 384 x 16 bit )

Only 9b DCT data values are supported currently. 
Send two DCT-values packed to upper and lower 16bits in the sigle hibi transmission. 

&lt;31------------------16--------------------0&gt;  BIT index
            DCT_DATA_1         DCT_DATA_0     DATA                    


NOTE: If self release is used (use_self_rel_g=1) user gets the signal that dct_to_hibi is ready to receive data.
            By default self release is disabled and you user can send data to dct_to_hibi after quant results are received. 
        

Outputs:
 Outputs are 16-bit words which are packed up to hibi. If hibi width is
 32b, then 2 16-bit words are combined into one hibi word.
 01. quant results: 1. 8*8 x 16bit values to quant result address
 02. idct  results: 1. 8*8 x 16bit values to idct  result address  
 03. quant results: 2. 8*8 x 16bit values to quant result address
 04. idct  results: 2. 8*8 x 16bit values to idct  result address
 05. quant results: 3. 8*8 x 16bit values to quant result address
 06. idct  results: 3. 8*8 x 16bit values to idct  result address
 07. quant results: 4. 8*8 x 16bit values to quant result address
 08. idct  results: 4. 8*8 x 16bit values to idct  result address
 09. quant results: 5. 8*8 x 16bit values to quant result address
 10. idct  results: 5. 8*8 x 16bit values to idct  result address
 11. quant results: 6. 8*8 x 16bit values to quant result address
 12. quant results: 1 word with bits 5..0 determing if 8x8 quant blocks(1-6)
                    has all values zeros (except dc-component in intra)
 13. idct  results: 6. 8*8 x 16bit values to idct  result address
-
 Total amount of 16-bit values is: 384 per result address + 1 hibi word to
 quantization result address.

 With default parameter:
 Total of 193 words of data to quant address (if data_width_g = 32)
 Total of 192 words of data to idct address (if data_width_g = 32)
</spirit:description>
        <spirit:busInterfaces>
                <spirit:busInterface>
                        <spirit:name>clk</spirit:name>
                        <spirit:description>Clock interface</spirit:description>
                        <spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.busdef" spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clock.absDef" spirit:version="1.0"/>
                        <spirit:slave/>
                        <spirit:connectionRequired>false</spirit:connectionRequired>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>CLK</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>clk</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:bitsInLau>8</spirit:bitsInLau>
                        <spirit:endianness>little</spirit:endianness>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>dct_if</spirit:name>
                        <spirit:description>Interface for connecting idctquant accelerator      </spirit:description>
                        <spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct.busdef" spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dct.absDef" spirit:version="1.0"/>
                        <spirit:slave/>
                        <spirit:connectionRequired>false</spirit:connectionRequired>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>CHROMA_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>chroma_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DCT_READY4COL_FROM_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>dct_ready4col_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>IDCT_READY4COL_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>idct_ready4col_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>INTRA_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>intra_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>LOAD_QP_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>loadQP_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>QP_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>QP_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>WR_DCT_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>wr_dct_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>WR_IDCT_FROM_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>wr_idct_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>WR_QUANT_FROM_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>wr_quant_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>QUANT_READY4COL_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>quant_ready4col_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DATA_DCT_TO_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>8</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>data_dct_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>8</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DATA_IDCT_FROM_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>8</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>data_idct_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>8</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DATA_QUANT_FROM_ACC</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>7</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>data_quant_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>7</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:bitsInLau>8</spirit:bitsInLau>
                        <spirit:endianness>little</spirit:endianness>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>hibi_master</spirit:name>
                        <spirit:description>HIBI wrapper r4 version 2 master interface</spirit:description>
                        <spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
                        <spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
                        <spirit:master/>
                        <spirit:connectionRequired>false</spirit:connectionRequired>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>AV</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_av_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>COMM</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_comm_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DATA</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>31</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_data_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>31</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>RE</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_re_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>WE</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_we_out</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:bitsInLau>8</spirit:bitsInLau>
                        <spirit:endianness>little</spirit:endianness>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>rst_n</spirit:name>
                        <spirit:description>Active low reset input.</spirit:description>
                        <spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.busdef" spirit:version="1.0"/>
                        <spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="reset.absDef" spirit:version="1.0"/>
                        <spirit:slave/>
                        <spirit:connectionRequired>false</spirit:connectionRequired>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>RESETn</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>rst_n</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:bitsInLau>8</spirit:bitsInLau>
                        <spirit:endianness>little</spirit:endianness>
                </spirit:busInterface>
                <spirit:busInterface>
                        <spirit:name>hibi_slave</spirit:name>
                        <spirit:busType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.busdef" spirit:version="3.0"/>
                        <spirit:abstractionType spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_ip_r4.absdef" spirit:version="3.0"/>
                        <spirit:slave>
                                <spirit:memoryMapRef spirit:memoryMapRef="dct_mem_map"/>
                        </spirit:slave>
                        <spirit:connectionRequired>false</spirit:connectionRequired>
                        <spirit:portMaps>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>AV</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_av_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>COMM</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_comm_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>4</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>DATA</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>31</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_data_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>31</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>EMPTY</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_empty_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                                <spirit:portMap>
                                        <spirit:logicalPort>
                                                <spirit:name>FULL</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:logicalPort>
                                        <spirit:physicalPort>
                                                <spirit:name>hibi_full_in</spirit:name>
                                                <spirit:vector>
                                                        <spirit:left>0</spirit:left>
                                                        <spirit:right>0</spirit:right>
                                                </spirit:vector>
                                        </spirit:physicalPort>
                                </spirit:portMap>
                        </spirit:portMaps>
                        <spirit:bitsInLau>8</spirit:bitsInLau>
                        <spirit:endianness>little</spirit:endianness>
                </spirit:busInterface>
        </spirit:busInterfaces>
        <spirit:memoryMaps>
                <spirit:memoryMap>
                        <spirit:name>dct_mem_map</spirit:name>
                        <spirit:addressBlock>
                                <spirit:name>dct_regs</spirit:name>
                                <spirit:baseAddress>0x0</spirit:baseAddress>
                                <spirit:range>7</spirit:range>
                                <spirit:width>32</spirit:width>
                                <spirit:usage>register</spirit:usage>
                                <spirit:register>
                                        <spirit:name>quant_result_addr</spirit:name>
                                        <spirit:dim>0</spirit:dim>
                                        <spirit:addressOffset>0x0</spirit:addressOffset>
                                        <spirit:size>32</spirit:size>
                                </spirit:register>
                                <spirit:register>
                                        <spirit:name>idct_result_addr</spirit:name>
                                        <spirit:dim>0</spirit:dim>
                                        <spirit:addressOffset>0x1</spirit:addressOffset>
                                        <spirit:size>32</spirit:size>
                                </spirit:register>
                                <spirit:register>
                                        <spirit:name>ctrl_reg</spirit:name>
                                        <spirit:dim>0</spirit:dim>
                                        <spirit:addressOffset>0x2</spirit:addressOffset>
                                        <spirit:size>32</spirit:size>
                                        <spirit:field>
                                                <spirit:name>QP</spirit:name>
                                                <spirit:description>Quant parameter</spirit:description>
                                                <spirit:bitOffset>0</spirit:bitOffset>
                                                <spirit:bitWidth>5</spirit:bitWidth>
                                                <spirit:volatile>false</spirit:volatile>
                                                <spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
                                        </spirit:field>
                                        <spirit:field>
                                                <spirit:name>intra_or_inter</spirit:name>
                                                <spirit:description>0=intra, 1=inter</spirit:description>
                                                <spirit:bitOffset>5</spirit:bitOffset>
                                                <spirit:bitWidth>1</spirit:bitWidth>
                                                <spirit:volatile>false</spirit:volatile>
                                                <spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
                                        </spirit:field>
                                        <spirit:field>
                                                <spirit:name>chroma_luma</spirit:name>
                                                <spirit:description>0=luma, 1=chroma</spirit:description>
                                                <spirit:bitOffset>6</spirit:bitOffset>
                                                <spirit:bitWidth>1</spirit:bitWidth>
                                                <spirit:volatile>false</spirit:volatile>
                                                <spirit:testable spirit:testConstraint="unConstrained">true</spirit:testable>
                                        </spirit:field>
                                </spirit:register>
                                <spirit:register>
                                        <spirit:name>dct_data</spirit:name>
                                        <spirit:dim>0</spirit:dim>
                                        <spirit:addressOffset>0x3</spirit:addressOffset>
                                        <spirit:size>32</spirit:size>
                                </spirit:register>
                        </spirit:addressBlock>
                        <spirit:addressUnitBits>32</spirit:addressUnitBits>
                </spirit:memoryMap>
        </spirit:memoryMaps>
        <spirit:model>
                <spirit:views>
                        <spirit:view>
                                <spirit:name>rtl</spirit:name>
                                <spirit:envIdentifier>vhdl:quartus, modelsim:</spirit:envIdentifier>
                                <spirit:modelName>dct_to_hibi</spirit:modelName>
                                <spirit:fileSetRef>
                                        <spirit:localName>hdlSources</spirit:localName>
                                </spirit:fileSetRef>
                        </spirit:view>
                </spirit:views>
                <spirit:ports>
                        <spirit:port>
                                <spirit:name>QP_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>4</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>chroma_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>clk</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>data_dct_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>8</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>data_idct_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>8</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>data_quant_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>7</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>dct_ready4col_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>debug_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_av_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_av_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_comm_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>4</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_comm_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>4</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_data_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>31</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>(others =&gt; '0')</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_data_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>31</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_empty_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_full_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_re_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>hibi_we_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>idct_ready4col_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>intra_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>loadQP_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>quant_ready4col_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>rst_n</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>wr_dct_out</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>out</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>wr_idct_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                        <spirit:port>
                                <spirit:name>wr_quant_in</spirit:name>
                                <spirit:wire spirit:allLogicalDirectionsAllowed="false">
                                        <spirit:direction>in</spirit:direction>
                                        <spirit:vector>
                                                <spirit:left>0</spirit:left>
                                                <spirit:right>0</spirit:right>
                                        </spirit:vector>
                                        <spirit:driver>
                                                <spirit:defaultValue>'0'</spirit:defaultValue>
                                        </spirit:driver>
                                </spirit:wire>
                                <spirit:vendorExtensions/>
                        </spirit:port>
                </spirit:ports>
                <spirit:modelParameters>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>comm_width_g</spirit:name>
                                <spirit:value>5</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>data_width_g</spirit:name>
                                <spirit:value>32</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>dct_width_g</spirit:name>
                                <spirit:description>Incoming data width(9b)</spirit:description>
                                <spirit:value>9</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>debug_w_g</spirit:name>
                                <spirit:value>1</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>idct_width_g</spirit:name>
                                <spirit:description>Data width after IDCT(9b)</spirit:description>
                                <spirit:value>9</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>own_address_g</spirit:name>
                                <spirit:description>Used for self-release</spirit:description>
                                <spirit:value>0</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>quant_width_g</spirit:name>
                                <spirit:description>Quantizated data width(8b)</spirit:description>
                                <spirit:value>8</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>rtm_address_g</spirit:name>
                                <spirit:description>Used for self-release</spirit:description>
                                <spirit:value>0</spirit:value>
                        </spirit:modelParameter>
                        <spirit:modelParameter spirit:dataType="integer" spirit:usageType="nontyped">
                                <spirit:name>use_self_rel_g</spirit:name>
                                <spirit:description>Does it release itself from RTM?</spirit:description>
                                <spirit:value>0</spirit:value>
                        </spirit:modelParameter>
                </spirit:modelParameters>
        </spirit:model>
        <spirit:fileSets>
                <spirit:fileSet>
                        <spirit:name>hdlSources</spirit:name>
                        <spirit:file>
                                <spirit:name>hdl/cl_cnt.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                                <spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
                                <spirit:logicalName spirit:default="false">dct_to_hibi</spirit:logicalName>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>hdl/dct_to_hibi_v2.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                                <spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
                                <spirit:logicalName spirit:default="false">dct_to_hibi</spirit:logicalName>
                        </spirit:file>
                        <spirit:file>
                                <spirit:name>../../dctqidct/1.0/hdl/dctQidct/IDCT_fifo.vhd</spirit:name>
                                <spirit:fileType>vhdlSource</spirit:fileType>
                                <spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
                                <spirit:logicalName spirit:default="false">dct_to_hibi</spirit:logicalName>
                        </spirit:file>
                </spirit:fileSet>
                <spirit:fileSet>
                        <spirit:name>Documentation</spirit:name>
                        <spirit:file>
                                <spirit:name>doc/dct_to_hibi_ports.csv</spirit:name>
                                <spirit:userFileType>csvFile</spirit:userFileType>
                                <spirit:isIncludeFile spirit:externalDeclarations="false">false</spirit:isIncludeFile>
                        </spirit:file>
                </spirit:fileSet>
        </spirit:fileSets>
        <spirit:vendorExtensions>
                <kactus2:extensions>
                        <kactus2:kts_attributes>
                                <kactus2:kts_productHier>IP</kactus2:kts_productHier>
                                <kactus2:kts_implementation>HW</kactus2:kts_implementation>
                                <kactus2:kts_firmness>Mutable</kactus2:kts_firmness>
                        </kactus2:kts_attributes>
                        <kactus2:comInterfaces>
                                <kactus2:comInterface>
                                        <spirit:name>dct_data_in</spirit:name>
                                        <spirit:displayName></spirit:displayName>
                                        <spirit:description></spirit:description>
                                        <kactus2:comType spirit:vendor="TUT" spirit:library="global.communication" spirit:name="mcapi" spirit:version="1.0"/>
                                        <kactus2:transferType>packet</kactus2:transferType>
                                        <kactus2:comDirection>in</kactus2:comDirection>
                                        <kactus2:propertyValues/>
                                        <kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
                                </kactus2:comInterface>
                                <kactus2:comInterface>
                                        <spirit:name>dct_data_out</spirit:name>
                                        <spirit:displayName></spirit:displayName>
                                        <spirit:description></spirit:description>
                                        <kactus2:comType spirit:vendor="TUT" spirit:library="global.communication" spirit:name="mcapi" spirit:version="1.0"/>
                                        <kactus2:transferType>packet</kactus2:transferType>
                                        <kactus2:comDirection>out</kactus2:comDirection>
                                        <kactus2:propertyValues/>
                                        <kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
                                </kactus2:comInterface>
                                <kactus2:comInterface>
                                        <spirit:name>block_count_in</spirit:name>
                                        <spirit:displayName></spirit:displayName>
                                        <spirit:description></spirit:description>
                                        <kactus2:comType spirit:vendor="TUT" spirit:library="global.communication" spirit:name="mcapi" spirit:version="1.0"/>
                                        <kactus2:transferType>message</kactus2:transferType>
                                        <kactus2:comDirection>in</kactus2:comDirection>
                                        <kactus2:propertyValues/>
                                        <kactus2:comImplementationRef spirit:vendor="" spirit:library="" spirit:name="" spirit:version=""/>
                                </kactus2:comInterface>
                        </kactus2:comInterfaces>
                </kactus2:extensions>
        </spirit:vendorExtensions>
</spirit:component>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.