OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [intel/] [fwrisc/] [fwrisc.sdc] - Rev 2

Compare with Previous | Blame | View Log


create_clock -period 20 [get_ports clock]
derive_pll_clocks

set_input_delay -clock clock -max 3 [all_inputs]
set_input_delay -clock clock -min 2 [all_inputs]

set_output_delay -clock clock -max 3 [all_outputs]
set_output_delay -clock clock -min 2 [all_outputs]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.