OpenCores
URL https://opencores.org/ocsvn/fwrisc/fwrisc/trunk

Subversion Repositories fwrisc

[/] [fwrisc/] [trunk/] [synth/] [microsemi/] [constraints/] [fwrisc_fpga_top.pdc] - Rev 2

Compare with Previous | Blame | View Log



set_io clock \
  -pinname H16 \
  -fixed yes \
  -DIRECTION INPUT \

set_io led0 \
  -pinname N16 \
  -fixed yes \
  -DIRECTION OUTPUT 

set_io led1 \
  -pinname M16 \
  -fixed yes \
  -DIRECTION OUTPUT 

set_io d0_p \
  -pinname T12 \
  -fixed yes \
  -DIRECTION OUTPUT 

set_io d0_n \
  -pinname R12 \
  -fixed yes \
  -DIRECTION OUTPUT 

set_io clk_o \
  -pinname T8 \
  -fixed yes \
  -DIRECTION OUTPUT 

set_io tx \
  -pinname T13 \
  -fixed yes \
  -DIRECTION OUTPUT 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.