OpenCores
URL https://opencores.org/ocsvn/hive/hive/trunk

Subversion Repositories hive

[/] [hive/] [trunk/] [v04.05/] [hive_core.vwf] - Rev 4

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/

/*
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/

HEADER
{
        VERSION = 1;
        TIME_UNIT = ns;
        DATA_OFFSET = 0.0;
        DATA_DURATION = 150000.0;
        SIMULATION_TIME = 0.0;
        GRID_PHASE = 0.0;
        GRID_PERIOD = 50.0;
        GRID_DUTY_CYCLE = 50;
}

SIGNAL("clk_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("intr_req_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 8;
        LSB_INDEX = 0;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("intr_req_i[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("io_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 32;
        LSB_INDEX = 0;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("io_i[31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[28]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[27]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[26]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[25]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[24]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[23]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[22]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[21]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[20]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[19]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[18]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[17]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[16]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_o")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 32;
        LSB_INDEX = 0;
        DIRECTION = OUTPUT;
        PARENT = "";
}

SIGNAL("io_o[31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[28]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[27]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[26]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[25]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[24]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[23]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[22]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[21]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[20]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[19]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[18]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[17]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[16]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("rst_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 681")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 477")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 1788")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 2870")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

GROUP("io_o_char")
{
        MEMBERS = "io_o[31]", "io_o[30]", "io_o[29]", "io_o[28]", "io_o[27]";
}

GROUP("io_o_mant")
{
        MEMBERS = "io_o[26]", "io_o[25]", "io_o[24]", "io_o[23]", "io_o[22]", "io_o[21]", "io_o[20]", "io_o[19]", "io_o[18]", "io_o[17]", "io_o[16]", "io_o[15]", "io_o[14]", "io_o[13]", "io_o[12]", "io_o[11]", "io_o[10]", "io_o[9]", "io_o[8]", "io_o[7]", "io_o[6]", "io_o[5]", "io_o[4]", "io_o[3]", "io_o[2]", "io_o[1]", "io_o[0]";
}

SIGNAL("uart_rx_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("uart_tx_o")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "";
}

SIGNAL("divider 2733")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

TRANSITION_LIST("clk_i")
{
        NODE
        {
                REPEAT = 1;
                NODE
                {
                        REPEAT = 3000;
                        LEVEL 0 FOR 25.0;
                        LEVEL 1 FOR 25.0;
                }
        }
}

TRANSITION_LIST("intr_req_i[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 12800.0;
                LEVEL 1 FOR 49600.0;
                LEVEL 0 FOR 25600.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 60400.0;
        }
}

TRANSITION_LIST("intr_req_i[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 38400.0;
                LEVEL 1 FOR 24000.0;
                LEVEL 0 FOR 22400.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 63600.0;
        }
}

TRANSITION_LIST("intr_req_i[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 57600.0;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 19200.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 66800.0;
        }
}

TRANSITION_LIST("intr_req_i[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 51200.0;
                LEVEL 1 FOR 11200.0;
                LEVEL 0 FOR 16000.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 70000.0;
        }
}

TRANSITION_LIST("intr_req_i[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 25600.0;
                LEVEL 1 FOR 36800.0;
                LEVEL 0 FOR 12800.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 73200.0;
        }
}

TRANSITION_LIST("intr_req_i[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 32000.0;
                LEVEL 1 FOR 30400.0;
                LEVEL 0 FOR 9600.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 76400.0;
        }
}

TRANSITION_LIST("intr_req_i[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 44800.0;
                LEVEL 1 FOR 17600.0;
                LEVEL 0 FOR 6400.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 79600.0;
        }
}

TRANSITION_LIST("intr_req_i[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 19200.0;
                LEVEL 1 FOR 43200.0;
                LEVEL 0 FOR 3200.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 82800.0;
        }
}

TRANSITION_LIST("io_i[31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[28]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[27]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[26]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[25]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[24]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[23]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[22]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[21]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[20]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[19]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[18]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[17]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[16]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 140200.0;
        }
}

TRANSITION_LIST("io_i[9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 9800.0;
                LEVEL 1 FOR 140200.0;
        }
}

TRANSITION_LIST("io_i[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 150000.0;
        }
}

TRANSITION_LIST("io_i[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 140200.0;
        }
}

TRANSITION_LIST("io_i[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 4800.0;
                LEVEL 1 FOR 145200.0;
        }
}

TRANSITION_LIST("io_i[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 9800.0;
                LEVEL 1 FOR 140200.0;
        }
}

TRANSITION_LIST("io_o[31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[28]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[27]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[26]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[25]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[24]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[23]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[22]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[21]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[20]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[19]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[18]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[17]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[16]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("io_o[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

TRANSITION_LIST("rst_i")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 50.0;
                LEVEL 0 FOR 149950.0;
        }
}

TRANSITION_LIST("uart_rx_i")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 150000.0;
        }
}

TRANSITION_LIST("uart_tx_o")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 150000.0;
        }
}

DISPLAY_LINE
{
        CHANNEL = "rst_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 0;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "clk_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 1;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "divider 681";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 2;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i";
        EXPAND_STATUS = EXPANDED;
        RADIX = Unsigned;
        TREE_INDEX = 3;
        TREE_LEVEL = 0;
        CHILDREN = 4, 5, 6, 7, 8, 9, 10, 11;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 4;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 5;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 6;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 7;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 8;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 9;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 10;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 11;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "divider 477";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 12;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "io_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 13;
        TREE_LEVEL = 0;
        CHILDREN = 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 14;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 15;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 16;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 17;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 18;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 19;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 20;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 21;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 22;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 23;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 24;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 25;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 26;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 27;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 28;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 29;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 30;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 31;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 32;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 33;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 34;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 35;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 36;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 37;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 38;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 39;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 40;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 41;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 42;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 43;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 44;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 45;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "divider 1788";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 46;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "io_o";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 47;
        TREE_LEVEL = 0;
        CHILDREN = 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 48;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 49;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 50;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 51;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 52;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 53;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 54;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 55;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 56;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 57;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 58;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 59;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 60;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 61;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 62;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 63;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 64;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 65;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 66;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 67;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 68;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 69;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 70;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 71;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 72;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 73;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 74;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 75;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 76;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 77;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 78;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 79;
        TREE_LEVEL = 1;
        PARENT = 47;
}

DISPLAY_LINE
{
        CHANNEL = "divider 2870";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 80;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "io_o_char";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 81;
        TREE_LEVEL = 0;
        CHILDREN = 82, 83, 84, 85, 86;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 82;
        TREE_LEVEL = 1;
        PARENT = 81;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 83;
        TREE_LEVEL = 1;
        PARENT = 81;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 84;
        TREE_LEVEL = 1;
        PARENT = 81;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 85;
        TREE_LEVEL = 1;
        PARENT = 81;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 86;
        TREE_LEVEL = 1;
        PARENT = 81;
}

DISPLAY_LINE
{
        CHANNEL = "io_o_mant";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 87;
        TREE_LEVEL = 0;
        CHILDREN = 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 88;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 89;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 90;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 91;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 92;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 93;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 94;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 95;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 96;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 97;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 98;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 99;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 100;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 101;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 102;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 103;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 104;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 105;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 106;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 107;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 108;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 109;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 110;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 111;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 112;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 113;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 114;
        TREE_LEVEL = 1;
        PARENT = 87;
}

DISPLAY_LINE
{
        CHANNEL = "divider 2733";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 115;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "uart_rx_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 116;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "uart_tx_o";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 117;
        TREE_LEVEL = 0;
}

TIME_BAR
{
        TIME = 16850;
        MASTER = TRUE;
}
;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.