OpenCores
URL https://opencores.org/ocsvn/hive/hive/trunk

Subversion Repositories hive

[/] [hive/] [trunk/] [v04.05/] [reg_set_addr.h] - Rev 4

Compare with Previous | Blame | View Log

// `ifndef _reg_set_addr_h_
// `define _reg_set_addr_h_
 
// internal register addresses
localparam	integer	VER_ADDR			= 'h0;
localparam	integer	THRD_ID_ADDR	= 'h1;
localparam	integer	CLR_ADDR			= 'h2;
localparam	integer	INTR_EN_ADDR	= 'h3;
localparam	integer	OP_ER_ADDR		= 'h4;
localparam	integer	STK_ER_ADDR		= 'h5;
localparam	integer	IO_LO_ADDR		= 'h8;
localparam	integer	IO_HI_ADDR		= 'h9;
localparam	integer	UART_RX_ADDR	= 'hc;
localparam	integer	UART_TX_ADDR	= 'hd;
 
// `endif  // _reg_set_addr_h_

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.