OpenCores
URL https://opencores.org/ocsvn/loadbalancer/loadbalancer/trunk

Subversion Repositories loadbalancer

[/] [loadbalancer/] [trunk/] [LB.fit.summary] - Rev 2

Compare with Previous | Blame | View Log

Fitter Status : Successful - Sun Jan 10 21:12:58 2010
Quartus II Version : 7.2 Build 207 03/18/2008 SP 3 SJ Full Version
Revision Name : LB
Top-level Entity Name : LB
Family : Stratix II
Device : EP2S15F484C3
Timing Models : Final
Logic utilization : 8 %
    Combinational ALUTs : 524 / 12,480 ( 4 % )
    Dedicated logic registers : 870 / 12,480 ( 7 % )
Total registers : 870
Total pins : 145 / 343 ( 42 % )
Total virtual pins : 0
Total block memory bits : 154,560 / 419,328 ( 37 % )
DSP block 9-bit elements : 0 / 96 ( 0 % )
Total PLLs : 0 / 6 ( 0 % )
Total DLLs : 0 / 2 ( 0 % )

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.