OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [sim/] [rtl_sim/] [out/] [rtl_impulseResponse.txt] - Rev 2

Compare with Previous | Blame | View Log

        SystemC 2.3.2-Accellera --- Apr 28 2018 13:46:26
        Copyright (c) 1996-2017 by all Contributors,
        ALL RIGHTS RESERVED
Test Case #1:
Check impulse response of low-pass filter.
RTL simulation results:
Input Output
----- ------
  0     0
  1     1
  0     1
  0     1
  0     1
  0     1
  0     1
  0     0
  0     0
  0     0
  0     0
  0     0
  0     0
  0     0

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.