OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [hash.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/HashTable_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="hashtable_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="15" />
   <wvobject fp_name="/hashtable_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/newentryxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">newentryxdi[11:0]</obj_property>
      <obj_property name="ObjectShortName">newentryxdi[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/enwrxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">enwrxsi</obj_property>
      <obj_property name="ObjectShortName">enwrxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/key0xdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">key0xdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">key0xdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/key1xdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">key1xdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">key1xdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/key2xdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">key2xdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">key2xdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/oldentryxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">oldentryxdo[11:0]</obj_property>
      <obj_property name="ObjectShortName">oldentryxdo[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/stage0xs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">stage0xs[11:0]</obj_property>
      <obj_property name="ObjectShortName">stage0xs[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/stage1xs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">stage1xs[15:0]</obj_property>
      <obj_property name="ObjectShortName">stage1xs[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/productxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">productxs</obj_property>
      <obj_property name="ObjectShortName">productxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/rawhashxs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">rawhashxs[31:0]</obj_property>
      <obj_property name="ObjectShortName">rawhashxs[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/bramaddrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramaddrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramaddrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/tblinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tblinxd[17:0]</obj_property>
      <obj_property name="ObjectShortName">tblinxd[17:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/hashtable_tb/DUT/tbloutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">tbloutxd[17:0]</obj_property>
      <obj_property name="ObjectShortName">tbloutxd[17:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.