OpenCores
URL https://opencores.org/ocsvn/mips_16/mips_16/trunk

Subversion Repositories mips_16

[/] [mips_16/] [trunk/] [bench/] [EX_stage/] [alu_tb_0.do] - Rev 2

Compare with Previous | Blame | View Log

quit -sim
vlib work
vlog  +incdir+../rtl ../rtl/alu.v
vlog  +incdir+../rtl ../bench/EX_stage/alu_tb_0.v

vsim -t 1ps -novopt -lib work alu_tb_0_v
view wave
add wave -radix unsigned /alu_tb_0_v/cmd
add wave -radix decimal /alu_tb_0_v/a
add wave -radix decimal /alu_tb_0_v/b
add wave -radix decimal /alu_tb_0_v/r
view structure
view signals
run -all

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.