OpenCores
URL https://opencores.org/ocsvn/mips_16/mips_16/trunk

Subversion Repositories mips_16

[/] [mips_16/] [trunk/] [doc/] [assembler_note.txt] - Rev 5

Compare with Previous | Blame | View Log


;comment

 L1: ADdI               r1,R0,8 ;comment
ADDI    R2,R1,8
                 ADDI   R3,R2,8
ADD             R4,R2,R3
ST              R4,R1,2

;comment comments
LD              R5,R1,2
SUB             R6,R4,R5
BZ              R6,l1
ADDI    R7,R7,1

1001001000001000
1001010001001000
1001011010001000
0001100010011000
1011100001000010
1010101001000010
0010110100101000
1100000110111000
1001111111000001

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.