OpenCores
URL https://opencores.org/ocsvn/nysa_sata/nysa_sata/trunk

Subversion Repositories nysa_sata

[/] [nysa_sata/] [trunk/] [sim/] [hd_data_writer.v] - Rev 2

Compare with Previous | Blame | View Log

module hd_data_writer(
    input               clk,
    input               rst,
    input               enable,
 
    output  reg [31:0]  data,
    input               strobe
);
 
//Registers and Wires
reg             [31:0]  test_data;
 
//Submodules
 
//Asynchronous Logic
 
 
//Synchronous Logic
always @ (posedge clk) begin
    if (rst) begin
        test_data       <=  0;
        data            <=  0;
    end
    else begin
        if (enable) begin
            data            <=  test_data;
            if (strobe) begin
                test_data   <=  test_data + 1;
            end
        end
        else begin
            test_data   <=  0;
        end
    end
end
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.