OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [ovl/] [fifo/] [run] - Rev 13

Compare with Previous | Blame | View Log

#!/bin/sh
irun fifo_tb.v  ram_dp_ar_aw.v syn_fifo_assert.v +incdir+../std_ovl/ -y ../std_ovl/ +notimingchecks +nospecify

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.