OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [example/] [systemverilog/] [assertion/] [test4/] [dump.v] - Rev 13

Compare with Previous | Blame | View Log

 
// Dumping Waveforms
initial begin //{
 
    $shm_open("simvision.shm"); 
    $shm_probe("AC"); 
end //}
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.