OpenCores
URL https://opencores.org/ocsvn/opentech/opentech/trunk

Subversion Repositories opentech

[/] [opentech/] [web_uploads/] [content.txt] - Rev 6

Compare with Previous | Blame | View Log

For Detailed information of the tools check OpenCollector.db.txt 
note: not everything in OpenCollector.db.txt included in OpenTech cdroms

1. Designs (on cdrom 1)
  1) 4stack : CPU
  2) Alltel
  3) Cornbread: IO board 
  4) cpcng board prject site
  5) Crypto:  DES core
  6) DLX processor model
  7) DPRGIR IR Proximity Detector 
  8) efi engine control
  9) f-cpu design
  10) feS2: fpga evaluation board
  11) Flapjack : IO board 
  12) FMF (Free Model Foundation) site
  13) fpgaarcad designs
  14) fracn fractional-N frequency dividers. 
  15) freecore : some  cores targeted to altera
  16) free-ip designs and site
  17) Free-risc8: CPU Project
  18) gBios: GNU bios
  19) jamCPU
  20) Khatib site and free cores
  21) LART Project
  22) Leon-II: Sparc CPU
  23) LIAB3Ddist: Linux in a Box project
  24) Logic Analyzer core
  25) m65 CPU
  26) mPGA: Meta FPGA project
  27) msl16
  28) Ogg On Chip
  29) OpenBT project 
  30) openUP: CPU 
  31) openwince drivers
  32) pancham: MD5 message digest algorithm 
  33) Piranha: CPU Project  
  34) PS-XPC84 board
  35) risc8: CPU Project
  36) Ronja:  Open-Hardware optical datalink that connects two PC's point-to-point
  37) rs-codec
  38) Sayuri : CPU 
  39) SOC board
  40) T80 cpu
  41) te16: FPGA CPU
  42) Traja: Java Processor
  43) vhdlcohen: design files 
  44) Some VHDL cores
  45) VHDL examples and tutorials
  46) wireless
  47) Wishbone SOC bus specifications
  48) xr16vx: CPU
  49) megasquirt 
  
  
2. Tools (on cdrom 2)
== Analysis
 1) altc (Arbitrary Tranmission Line Calculator)
 2) kfilter : filter design tool
 3) mpac (MicroStrip Patch Antenna Calculator)
 4) ntesla: coil calculator
 5) vipec: high frequency tool
 6) Turns-n  
 7) fastcap
 8) fasteny
 9) induct
 10) sline
 11) FXTransformer : transformers tool

== Design entry
  1) bitgen: converting digital bitstreams to analog voltage sources
  2) BoardStatus: 
  3) Brusey20: state diagram editor
  4) chipmunk tools
  5) ChipVault: HDL hierarchy tool
  6) Circuit_macros for Latex
  7) circuitsimth: 
  8) dia: block diagrams and flow charts drawing tool
  9) dtools: several scripting tools
  10) edascript
  11) edif-parser
  12) Electric: compiler and simulator. full IC design system
  13) Emacs modes: VHDL, Verilog ....
  14) fsm tool
  15) Gael:
  16) GDSreader: GDS files reader
  17) gEDA Tools: schematic and netlisting
  18) Grdrv for protel
  19) gtree 
  20) HDLmaker   hierarchy tool
  21) IDaSS
  22) JARP petri net drawing tool
  23) NGpaint: Drawing tool
  24) NMICROCODER  microcode generator
  25) pinout: script for drwing chip pins
  26) qfsm: fsm drawing tool
  27)  REDS: Schematics and PCB
  28) SNV: verilog editor
  29) sarlacc: Orcad to geda convertor
  30) SimpleElib: electric library
  31) spp: Perl wrapper for Synopsys' shells
  32) tEDA
  33) trtab: truth table generator
  34) VGI: VHDL Graphical Interface
  35) Voyeur: design veiwer
  36) xcircuit: schematic drawing tool

== Instruments
  1) bsosc: Bitscope software
  2) GPIB-tcl: General purpose interface bus TCL extension
  3) Kdmm: DMM  
  4) multimeter
  5) ODDAS: Open Source DAS software
  6) oscope
  7) qtDMM : DMM
  8) qtDSO: DSO
  9) scope
  10) zmeter :Serial Digital Multimeter Interface
  
== Layout
   * IC
   1) Magic: IC layout tool
   2) p2m: Image to layout tool

   * board
   1) gbliter: Gerber Printed Circuit Board Tiling Utility 
   2) gerb2tif : gerper file to tiff convertor
   3) gerber2pdf   
   4) gerbv : gerber file viewer
   5) kic
   6) lasagne: PCB layout tool
   7) mucs
   8) PCB layout tool
   9) pcbca
   10) punto
   11) traxlaserplot
   12) xelenECAD
   13) xmlpcbrender
   14) xroadmaker

== Pic tools
  1) asm_c84
  2) Broccoli18
  3) gpasm
  4) gpprog
  5) gpsim
  6) npc
  7) pic_cc PIC compiler
  8) picprog PIC driver
  9) picasm
  10) picdis
  11) picsim
  12) prog84-0.9
  13) Pp06: PP06 Programmer Software
  14) Sdcc
  15) vc  
  16) xnitpic X Window Simulator for PIC
   
== PLD
  1) JBits-Interface
  2) palstuff
  3) Vpr PPR tool 
  4) xnf-tools
  5) xstools: xess boards tools
  6) ptgnlfsr
  7) JHDL
  8) asfpga

== Simulation
  1) alice OpenVera tool
  2) cynLib verification tool
  3) danalyzer: Digital Logic Analyzer 
  4) DigiTcl: Digital simulator
  5) dinotrace:  viewing signal wave forms
  6) gmos: Semiconductor simulator
  7) gossip-sim: synchronous data flow simulation
  8) gtkwave  
  9) gwave: wave form viewer
  10) hadlop230 : simulation  for digital optoelectronic
  11) irsim: logic simulator for MOS
  12) klogic
  13) pcisim: PCI simulator
  14) pns
  15) polis
  16) ptolemy: communication and DSP simulators
  17) retro
  18) s5: simulation of programnable logic controler
  19) SIMsynch:
  20) thud:  RTL simulation environment
  21) Timver: timing verify circuits against specifications
  22) tkgate: event-driven simulator
  23) Udl/I:Unified Design Language for Integrated Circuits
  24) vstgl: Visual STG Lab 
  25) SUGAR MEMS simulator
  26) jsim 
  27) dlsim
  28) krelais
  29) tlogsim
  30) SS1
  31) ksimus

== Spice
 1) Al's Circuit Simulator
 2) autochar "Automatic cell characterization of digital circuits.
 3) gSpice
 4) hsview: Simulation viewer
 5) macspice
 6) ng-spice : Spice  
 7) oregano: schematic capture and simulation 
 8) spice3f5 : Spice
 9) spicecad : schematic entry for the anlog simulator
 10) Spice-perl scripts
 11) spicepp  spice scripts 
 12) spiceprm spice preprocessor
 13) wave 2 hspice tool
 14) jjmodel
 15) Spice +


== Synthesis
 1) Alliance : VHDL compiler, simulator and synthesis tool
 2) Boolean:
 3) Espresso
 4) icarus VERILOG COMPILATION SYSTEM 
 5) Udl/I:Unified Design Language for Integrated Circuits
 6) Boolean Simplification
 7) boolstuff : boolean tools

== Verification
  1) Covered: Coverage Tool  (added)
  2) Fcoverage:  Functional coverage tool (added)
  3) JEDA:  hardware design verification  Programming Language  (added)
  4) RHDL  
  5) MyHDL
 

 == Verilog
  1) dinotrace
  2) Gslondon
  3) icarus VERILOG COMPILATION SYSTEM 
  4) io    Reading files from Verilog models
  5) ivl
  6) parser
  7) pli_socket_example 
  8) smi
  9) V2k    parser, database, and elaborator.
  10) VBS    Verilog Behavioral Simulation
  11) Vcd wave veiwer
  12) ver    Structural Verilog Compiler.
  13) Verilog2C++ : verilog to C++ convertor
  14) verilog 2 vhdl
  15) Verilog Perl Package
  16) Verilog-Pli
  17) vex  
  18) vIDE Editor 
  19) vtrace
  20) VL
  21) vpp
  22) IVI 
  23) v-ms Verilog AMS
  

== VHDL
 1) Alliance : compiler, simulator and synthesis tool
 2) blowfish
 3) vhd2vl: vhdl to verilog convertor
 4) vhdl2html convertor
 5) vhdl2verilog convertor
 6) Fio package  
 7) freehdl :vhdl compiler
 8) Gslondon
 9) savant : vhdl simulator
 10) vdt : vhdl compiler
 11) VHDL++
 12) VHDL-parser :
 13) Some VHDL tools
 14) Xfuzzy : vhdl tool for fuzzy system
 15) freehdl
 16) ghdl 
 17) VHDL2vlg

== Factory and other tools
   1) Programmers
        a)  Eep
        b)  spiprog
        c)  srecord
        d) beprommer
   2) bdm: mpc850 BDM software and design
   3) can controller tool
   4) ColdFire: emulator
   5) e_factory
   6) emc: Enhanced Machine Controller
   7) gbi Parallel port control
   8) io: IO interfacing board software
   9) JTAG utility
   10) ppc
   11) protoype
   12) ianjtag
   13) jyuGem: Implements the communications stack for semiconductor fabs based on SEMI standards.
   14) jyusecs   
   15) jtag-tools
   



3. OpenCores.org (on cdrom 3)
 OpenCores site and CVS images


4. Extra Utilities (on cdrom 1)
   1) Emacs   : editor
   2) Xemacs  : editor
   3) nEdit   : editor
   4) WinCvs  : CVS
   5) tcsh: OS shell (wiondows and Unix)
   6) Perl  
   7) glimmer: editor

5. Documents (on cdrom 1)
  1) VHDL-FAQ
  2) VLSI lectures
  3) CVS book

6.   Some Libraries needed by some tools (on cdrom 2)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.