OpenCores
URL https://opencores.org/ocsvn/sd_card_controller/sd_card_controller/trunk

Subversion Repositories sd_card_controller

[/] [sd_card_controller/] [trunk/] [syn/] [quartus/] [bin/] [constraints.sdc] - Rev 3

Compare with Previous | Blame | View Log

create_clock -period 50MHz -name wb_clk_i [get_ports {wb_clk_i}]
create_generated_clock -name sd_clk -source {wb_clk_i} -divide_by 2 [get_registers {sdc_controller:sdc_controller0|sd_clock_divider:clock_divider0|SD_CLK_O}]
derive_clock_uncertainty
set_false_path -from wb_clk_i -to sd_clk

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.