OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [sgmii.qip] - Rev 9

Go to most recent revision | Compare with Previous | Blame | View Log

set_global_assignment -name IP_TOOL_NAME "Triple Speed Ethernet"
set_global_assignment -name IP_TOOL_VERSION "11.1"
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "sgmii.v"]
set_global_assignment -name SEARCH_PATH  [file join $::quartus(qip_path) "." ]
set_global_assignment -name SEARCH_PATH [file join $::quartus(qip_path) triple_speed_ethernet-library ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_align_sync.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt2gxb_arriagx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt2gxb_basic.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt2gxb_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt2gxb_gige_wo_rmfifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt4gxb_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_alt4gxb_gige_wo_rmfifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_altgx_civgx_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_altgx_civgx_gige_wo_rmfifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_altshifttaps.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_altsyncram_dpm_fifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_13.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_24.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_34.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_opt_1246.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_opt_14_44.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_a_fifo_opt_36_10.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_bin_cnt.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_carrier_sense.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_clk_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_clk_gen.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_colision_detect.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_crc328checker.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_crc328generator.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_crc32ctl8.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_crc32galois8.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_dc_fifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_dec10b8b.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_dec_func.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_dpram_16x32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_dpram_8x32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_enc8b10b.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_fifoless_mac_rx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_fifoless_mac_tx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_fifoless_retransmit_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_geth_pcs_wo_ratematch.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gige_reset_ctrl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gmii_io.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gray_cnt.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gxb_gige_inst.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_gxb_gige_phyip_inst.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_hashing.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_host_control.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_host_control_small.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_lb_read_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_lb_wrt_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_lfsr_10.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_loopback_ff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_lvds_reset_sequencer.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_control.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_gige_woff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_pma.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_pma_ena.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige_phyip.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_pma_strx_gx_ena.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_pcs_woff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_rx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_tx.v ]
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_woff.ocp ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mac_woff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_magic_detection.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mdio.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mdio_clk_gen.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mdio_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mdio_reg.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mii_rx_if.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mii_rx_if_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mii_tx_if.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_mii_tx_if_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_channel_arbiter.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_mac.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_mac_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige_phyip.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs_control.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs_host_control.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs_pma.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pcs_pma_gige_phyip.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_quad_16x32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_quad_8x32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_register_map.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_register_map_small.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_reset_ctrl_lego.sv ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_reset_sequencer.sv ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_reset_synchronizer.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_retransmit_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rgmii_in1.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rgmii_in4.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rgmii_module.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rgmii_out1.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rgmii_out4.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_converter.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_counter_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_encapsulation.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_encapsulation_strx_gx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_ff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_ff_cntrl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32_shift16.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_ff_length.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_fifo_rd.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_min_ff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_stat_extract.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_rx_sync.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sdpm_altsyncram.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sdpm_gen.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sgmii_clk_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sgmii_clk_div.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sgmii_clk_enable.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_sgmii_clk_scheduler.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_shared_mac_control.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_shared_register_map.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_timing_adapter32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_timing_adapter8.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_timing_adapter_fifo32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_timing_adapter_fifo8.v ]
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_1000_base_x.ocp ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_1000_base_x.v ]
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.ocp ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_1geth.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_autoneg.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_fifoless_1geth.v ]
set_global_assignment -name OCP_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_gen_host.ocp ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_gen_host.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_mdio.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_multi_mac.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs_gige.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_pcs.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_pcs_strx_gx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_rx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_rx_converter.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_sgmii.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_sgmii_strx_gx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_tx.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_tx_converter.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_wo_fifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_wo_fifo_10_100_1000.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_w_fifo.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_top_w_fifo_10_100_1000.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_converter.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_counter_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_encapsulation.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff_cntrl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32_shift16.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff_length.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_ff_read_cntl.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_min_ff.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_tx_stat_extract.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) triple_speed_ethernet-library/altera_tse_xcvr_resync.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii_bb.v ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii.bsf ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii.vo ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii.qip ]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) sgmii.html ]

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.