OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [Mos6502/] [ip/] [T6502/] [rtl/] [xml/] [T6502_def.design.xml] - Rev 131

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:design 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">

<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Mos6502</spirit:library>
<spirit:name>T6502</spirit:name>
<spirit:version>def.design</spirit:version>  


<spirit:vendorExtensions>
<socgen:nodes>

<socgen:node>
<spirit:name>mem_addr</spirit:name>
<spirit:busDef>cpu_addr</spirit:busDef>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire><spirit:vector><spirit:left>CPU_ADD-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</socgen:node>



</socgen:nodes>
</spirit:vendorExtensions>





 <spirit:hierConnections>

    <spirit:hierConnection       spirit:interfaceRef="vga" >
      <spirit:interface spirit:componentRef="io_module" spirit:busRef="vga"/>
      </spirit:hierConnection>

    <spirit:hierConnection        spirit:interfaceRef="ps2">
      <spirit:interface spirit:componentRef="io_module" spirit:busRef="ps2"/>
      </spirit:hierConnection>

    <spirit:hierConnection      spirit:interfaceRef="uart">
      <spirit:interface spirit:componentRef="io_module" spirit:busRef="uart"/>
      </spirit:hierConnection>


    </spirit:hierConnections>




 <spirit:interconnections>



    <spirit:interconnection>
      <spirit:name>mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>ext_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="ext_mem"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="io_module" spirit:busRef="mem">
      </spirit:activeInterface>
      </spirit:interconnection>







    <spirit:interconnection>
      <spirit:name>io_reg</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="io_reg"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="io_module" spirit:busRef="reg_mb">
      </spirit:activeInterface>
      </spirit:interconnection>



    <spirit:interconnection>
      <spirit:name>prog_rom_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="prog_rom_mem"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="boot_rom"  spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>sh_prog_rom_mem</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus"    spirit:busRef="sh_prog_rom_mem"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="sh_prog_rom"  spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>data</spirit:name>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="data"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="data_sram" spirit:busRef="mem"></spirit:activeInterface>
      </spirit:interconnection>





      <spirit:interconnection>
      <spirit:name>cpu</spirit:name>
      <spirit:activeInterface spirit:componentRef="cpu" spirit:busRef="cpu"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="micro_bus" spirit:busRef="cpu"></spirit:activeInterface>
      </spirit:interconnection>




    <spirit:interconnection>
      <spirit:name>syn_jtag</spirit:name>
      <spirit:activeInterface spirit:componentRef="adv_dbg" spirit:busRef="jtag"></spirit:activeInterface>
      <spirit:activeInterface spirit:componentRef="jtag_sync" spirit:busRef="syn_jtag"></spirit:activeInterface>
    </spirit:interconnection>


    <spirit:interconnection>
      <spirit:name>jtag</spirit:name>
      <spirit:activeInterface spirit:componentRef="jtag_sync" spirit:busRef="jtag"></spirit:activeInterface>
    </spirit:interconnection>




    </spirit:interconnections>








  <spirit:adHocConnections>


    <spirit:adHocConnection>
      <spirit:name>clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="data_sram" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="clk"/>
     <spirit:internalPortReference spirit:componentRef="jtag_sync" spirit:portRef="clk"/>
     <spirit:internalPortReference spirit:componentRef="adv_dbg" spirit:portRef="wb_clk_i"/>

    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="reset"/>
    </spirit:adHocConnection>







    <spirit:adHocConnection>
      <spirit:name>mem_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_addr"  spirit:left="ROM_ADD"   spirit:right="1"      />
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="addr" />
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_addr"  spirit:left="PROG_ROM_ADD"   spirit:right="1"    />
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="addr" />
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>ext_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rdata"  spirit:left="15"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_rdata"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>prog_rom_mem_rdata</spirit:name>
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="rdata"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>sh_prog_rom_mem_rdata</spirit:name>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="rdata"/>
   </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>gpio_0_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_out"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>gpio_0_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_oe"/>
    </spirit:adHocConnection>





    <spirit:adHocConnection>
      <spirit:name>gpio_0_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_0_in"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_0_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>gpio_1_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_out"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>gpio_1_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_oe"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_oe"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>gpio_1_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="gpio_1_in"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="gpio_1_in"/>
    </spirit:adHocConnection>



   <spirit:adHocConnection>
      <spirit:name>cts_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="cts_pad_in"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="cts_pad_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>rts_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="rts_pad_out"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="rts_pad_out"/>
    </spirit:adHocConnection>












    <spirit:adHocConnection>  
      <spirit:name>alu_status</spirit:name>
      <spirit:externalPortReference spirit:portRef="alu_status"  spirit:left="7"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="alu_status"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>enable</spirit:name>
      <spirit:externalPortReference spirit:portRef="enable"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="enable" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="enable" />
      <spirit:internalPortReference spirit:componentRef="micro_bus" spirit:portRef="enable" />
    </spirit:adHocConnection>


      <spirit:adHocConnection>
      <spirit:name>pg0_add</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_add"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="pg0_add"/>
      </spirit:adHocConnection>



      <spirit:adHocConnection>
      <spirit:name>pg0_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_rd"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="pg0_rd"/>
      </spirit:adHocConnection>

      <spirit:adHocConnection>
      <spirit:name>pg0_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_wr"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="pg0_wr"/>
      </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>cpu_pg0_data</spirit:name>
      <spirit:externalPortReference spirit:portRef="cpu_pg0_data"  spirit:left="7"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="pg0_data"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>vector</spirit:name>
      <spirit:externalPortReference spirit:portRef="vector" spirit:left="7"   spirit:right="0"  />
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="vec_int"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vector"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>nmi_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="nmi_in"/>
      <spirit:internalPortReference spirit:componentRef="cpu" spirit:portRef="nmi"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="int_out"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>mem_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wdata"/>
      <spirit:internalPortReference spirit:componentRef="sh_prog_rom" spirit:portRef="wdata"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>rx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="rx_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="rx_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>tx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="tx_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="tx_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_avail</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_avail"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ps2_data_avail"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>io_module_pic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_pic_irq_in" spirit:left="7"   spirit:right="0"  />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_irq_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>io_module_vic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_vic_irq_in" spirit:left="7"   spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="vic_irq_in"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>timer_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="timer_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="timer_irq"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_addr"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_addr"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wdata"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_ub</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_ub"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_ub"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_lb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_lb"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_lb"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ext_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rd"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_rd"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_stb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_stb"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_stb"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wr"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_cs</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_cs"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_cs"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wait</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wait"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ext_wait"/>
    </spirit:adHocConnection>





    <spirit:adHocConnection>
      <spirit:name>mem_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_rd"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_rd"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wr"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_wr"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>pg0_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_rd"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="pg0_rd"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>pg0_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_wr"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="pg0_wr"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ps2_data_avail</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_avail"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="ps2_data_avail"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>rx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="rx_irq"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="rx_irq"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>timer_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="timer_irq"  spirit:left="1"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="timer_irq"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>tx_irq</spirit:name>
      <spirit:externalPortReference spirit:portRef="tx_irq"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="tx_irq"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_addr"  spirit:left="0"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_addr"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>pg0_add</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg0_add"  spirit:left="7"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="pg0_add"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>mem_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_rdata"  spirit:left="15"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_rdata"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_wdata"  spirit:left="15"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_wdata"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>ext_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_irq_in"  spirit:left="2"   spirit:right="0"    />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="ext_irq_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>mem_cs</spirit:name>
      <spirit:externalPortReference spirit:portRef="mem_cs"  />
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="mem_cs"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>pg00_ram_l_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="pg00_ram_l_wr"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="pg00_ram_l_wr"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>cpu_pg0_data</spirit:name>
      <spirit:externalPortReference spirit:portRef="cpu_pg0_data"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="cpu_pg0_data"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>io_module_pic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_pic_irq_in"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="io_module_pic_irq_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>io_module_vic_irq_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="io_module_vic_irq_in"/>
      <spirit:internalPortReference spirit:componentRef="ctrl" spirit:portRef="io_module_vic_irq_in"/>
    </spirit:adHocConnection>



   <spirit:adHocConnection>
      <spirit:name></spirit:name>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_left"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_mid"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="ms_right"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="new_packet"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="x_pos"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="y_pos"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_irq"/>
      <spirit:internalPortReference spirit:componentRef="io_module" spirit:portRef="pic_nmi"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection spirit:tiedValue="'b0"  >
      <spirit:internalPortReference spirit:componentRef="boot_rom" spirit:portRef="wdata"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>jsp_data_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="jsp_data_out"  spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="adv_dbg" spirit:portRef="jsp_data_out"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>wb_jsp_dat_i</spirit:name>
      <spirit:externalPortReference spirit:portRef="wb_jsp_dat_i"  spirit:left="7" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="adv_dbg" spirit:portRef="wb_jsp_dat_i"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>biu_wr_strobe</spirit:name>
      <spirit:externalPortReference spirit:portRef="biu_wr_strobe"  />
      <spirit:internalPortReference spirit:componentRef="adv_dbg" spirit:portRef="biu_wr_strobe"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>wb_jsp_stb_i</spirit:name>
      <spirit:externalPortReference spirit:portRef="wb_jsp_stb_i"  />
      <spirit:internalPortReference spirit:componentRef="adv_dbg" spirit:portRef="wb_jsp_stb_i"/>
    </spirit:adHocConnection>





</spirit:adHocConnections>




<spirit:componentInstances>

<spirit:componentInstance>
<spirit:instanceName>io_module</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="io" spirit:name="io_module" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="BASE_WIDTH">0</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR_WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="UART_PRESCALE">UART_PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="UART_PRE_SIZE">UART_PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="UART_DIV">UART_DIV</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


  
   
<spirit:componentInstance>
<spirit:instanceName>cpu</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Mos6502" spirit:name="cpu" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="VEC_TABLE">VEC_TABLE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROG_ROM_ADD">PROG_ROM_ADD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PROG_ROM_WORDS">PROG_ROM_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>micro_bus</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="micro_bus" spirit:version="def"/>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>boot_rom</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
  <spirit:configurableElementValue spirit:referenceId="ADDR">ROM_ADD</spirit:configurableElementValue>
      <spirit:configurableElementValue spirit:referenceId="WORDS">ROM_WORDS</spirit:configurableElementValue>
      <spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName>sh_prog_rom</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR">PROG_ROM_ADD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">PROG_ROM_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>





<spirit:componentInstance>
<spirit:instanceName>data_sram</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="word"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">RAM_ADD</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">RAM_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">16'hffff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName></spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="dp"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">8</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="ADDR">7</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">128</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DEFAULT">8'hff</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>





<spirit:componentInstance>
<spirit:instanceName>ctrl</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Mos6502" spirit:name="T6502" spirit:version="ctrl"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="VEC_TABLE">VEC_TABLE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>adv_dbg</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="adv_debug_sys" spirit:name="adv_dbg_if" spirit:version="jfifo" />
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>jtag_sync</spirit:instanceName> 
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="jtag" spirit:version="classic_sync" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="JTAG_SEL">JTAG_SEL</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>





</spirit:componentInstances>











</spirit:design>



   


Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.