OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [Mos6502/] [ip/] [T6502/] [sim/] [testbenches/] [xml/] [T6502_bfm.design.xml] - Rev 133

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!--
//                                                                        //
// Author : John Eaton  Ouabache Designworks                              //
//                                                                        //
//   Copyright (C) 2010 Authors and OPENCORES.ORG                         //
//                                                                        //
//   This source file may be used and distributed without                 //
//   restriction provided that this copyright statement is not            //
//   removed from the file and that any derivative work contains          //
//   the original copyright notice and the associated disclaimer.         //
//                                                                        //
//   This source file is free software; you can redistribute it           //
//   and/or modify it under the terms of the GNU Lesser General           //
//   Public License as published by the Free Software Foundation;         //
//   either version 2.1 of the License, or (at your option) any           //
//   later version.                                                       //
//                                                                        //
//   This source is distributed in the hope that it will be               //
//   useful, but WITHOUT ANY WARRANTY; without even the implied           //
//   warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR              //
//   PURPOSE. See the GNU Lesser General Public License for more          //
//   details.                                                             //
//                                                                        //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
-->
<spirit:design 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">

<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Mos6502</spirit:library>
<spirit:name>T6502</spirit:name>
<spirit:version>bfm.design</spirit:version>  



<spirit:vendorExtensions>
<socgen:nodes>

<socgen:node>
<spirit:name>mem_addr</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire><spirit:vector><spirit:left>CPU_ADD-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</socgen:node>



</socgen:nodes>
</spirit:vendorExtensions>







  <spirit:adHocConnections>


    <spirit:adHocConnection>
      <spirit:name>clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="uart_model"  spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="ps2_model"  spirit:portRef="clk"/>
      <spirit:internalPortReference spirit:componentRef="vga_model"  spirit:portRef="clk"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>reset</spirit:name>
      <spirit:externalPortReference spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="ps2_model" spirit:portRef="reset"/>
      <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="reset"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>ext_addr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_addr"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="addr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wdata"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="wdata"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_rdata</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rdata"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="rdata"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_ub</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_ub"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ub"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wait</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wait"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="wait_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_lb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_lb"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="lb"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_rd</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_rd"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="rd"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_stb</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_stb"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="stb"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_wr</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_wr"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="wr"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ext_cs</spirit:name>
      <spirit:externalPortReference spirit:portRef="ext_cs"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="cs"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>memadr_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memadr_out" spirit:left="23" spirit:right="1" />
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memadr_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>memdb_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_out" spirit:left="15" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memdb_out"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memdb_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_oe"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memdb_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memdb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_in" spirit:left="15" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memdb_in"/>
    </spirit:adHocConnection>


   <spirit:adHocConnection>
      <spirit:name>MEMDB</spirit:name>
      <spirit:externalPortReference spirit:portRef="MEMDB"  spirit:left="15" spirit:right="0" />
      <spirit:internalPortReference spirit:componentRef="memdb_pad" spirit:portRef="PAD"/>
      <spirit:internalPortReference spirit:componentRef="psram"     spirit:portRef="dq"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>memdb_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_out"/>
      <spirit:internalPortReference spirit:componentRef="memdb_pad" spirit:portRef="pad_out"/>
    </spirit:adHocConnection>
   

    <spirit:adHocConnection>
      <spirit:name>memdb_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_in"/>
      <spirit:internalPortReference spirit:componentRef="memdb_pad" spirit:portRef="pad_in"/>
    </spirit:adHocConnection>
   
    <spirit:adHocConnection>
      <spirit:name>memdb_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="memdb_oe"/>
      <spirit:internalPortReference spirit:componentRef="memdb_pad" spirit:portRef="pad_oe"/>
    </spirit:adHocConnection>




    <spirit:adHocConnection>
      <spirit:name>ramclk_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramclk_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramclk_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="clk"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ramadv_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramadv_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramadv_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="adv_n"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ramcre_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramcre_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramcre_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="cre"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ramwait_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramwait_in"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramwait_in"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="o_wait"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ramcs_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramcs_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramcs_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="ce_n"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>memoe_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memoe_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memoe_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="oe_n"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>memwr_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memwr_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="memwr_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="we_n"/>
    </spirit:adHocConnection>



    <spirit:adHocConnection>
      <spirit:name>ramlb_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramlb_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramlb_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="lb_n"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ramub_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="ramub_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="ramub_n_out"/>
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="ub_n"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>memadr_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="memadr_out" />
      <spirit:internalPortReference spirit:componentRef="psram" spirit:portRef="addr"/>
    </spirit:adHocConnection>






    <spirit:adHocConnection>
      <spirit:name>flashststs_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="flashststs_in"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="flashststs_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>flashrp_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="flashrp_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="flashrp_n_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>flashcs_n_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="flashcs_n_out"/>
      <spirit:internalPortReference spirit:componentRef="fmc" spirit:portRef="flashcs_n_out"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ps2_clk_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_clk_pad_oe"/>
       <spirit:internalPortReference spirit:componentRef="ps2_clk_pad"   spirit:portRef="pad_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_clk_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_clk_pad_in"/>
       <spirit:internalPortReference spirit:componentRef="ps2_clk_pad"   spirit:portRef="pad_in"/>
    </spirit:adHocConnection>


    <spirit:adHocConnection>
      <spirit:name>ps2_data_pad_oe</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_pad_oe"/>
       <spirit:internalPortReference spirit:componentRef="ps2_data_pad"   spirit:portRef="pad_oe"/>
    </spirit:adHocConnection>

    <spirit:adHocConnection>
      <spirit:name>ps2_data_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data_pad_in"/>
       <spirit:internalPortReference spirit:componentRef="ps2_data_pad"   spirit:portRef="pad_in"/>
    </spirit:adHocConnection>


     <spirit:adHocConnection>
       <spirit:name>uart_txd_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="uart_txd_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="txd_in"/>
     </spirit:adHocConnection>
 

      <spirit:adHocConnection>
       <spirit:name>uart_rxd_pad_in</spirit:name>
      <spirit:externalPortReference spirit:portRef="uart_rxd_pad_in"/>
       <spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="rxd_out"/>
     </spirit:adHocConnection>




      <spirit:adHocConnection>
       <spirit:name>vga_vsync_n_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="vga_vsync_n_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="vsync_n"/>
     </spirit:adHocConnection>

      <spirit:adHocConnection>
       <spirit:name>vga_hsync_n_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="vga_hsync_n_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="hsync_n"/>
     </spirit:adHocConnection>


      <spirit:adHocConnection>
       <spirit:name>vga_red_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="vga_red_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="red"/>
     </spirit:adHocConnection>


      <spirit:adHocConnection>
       <spirit:name>vga_green_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="vga_green_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="green"/>
     </spirit:adHocConnection>

      <spirit:adHocConnection>
       <spirit:name>vga_blue_pad_out</spirit:name>
      <spirit:externalPortReference spirit:portRef="vga_blue_pad_out"/>
       <spirit:internalPortReference spirit:componentRef="vga_model" spirit:portRef="blue"/>
     </spirit:adHocConnection>









     <spirit:adHocConnection>
       <spirit:name>ps2_clk</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_clk"/>
       <spirit:internalPortReference spirit:componentRef="ps2_model" spirit:portRef="ps2_clk"/>
       <spirit:internalPortReference spirit:componentRef="ps2_clk_pad"   spirit:portRef="PAD"/>
     </spirit:adHocConnection>



     <spirit:adHocConnection>
       <spirit:name>ps2_data</spirit:name>
      <spirit:externalPortReference spirit:portRef="ps2_data"/>
       <spirit:internalPortReference spirit:componentRef="ps2_model" spirit:portRef="ps2_data"/>
       <spirit:internalPortReference spirit:componentRef="ps2_data_pad"   spirit:portRef="PAD"/>
     </spirit:adHocConnection>





</spirit:adHocConnections>




<spirit:componentInstances>


<spirit:componentInstance>
 <spirit:instanceName>uart_model</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="uart_model" spirit:version="def"/>
 <spirit:configurableElementValues>
 <spirit:configurableElementValue spirit:referenceId="CLKCNT">UART_MODEL_CLKCNT</spirit:configurableElementValue>
 <spirit:configurableElementValue spirit:referenceId="SIZE">UART_MODEL_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
 <spirit:instanceName>vga_model</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="vga_model" spirit:version="def"/>
</spirit:componentInstance>



<spirit:componentInstance>
 <spirit:instanceName>ps2_model</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="ps2_model" spirit:version="def"/>
 <spirit:configurableElementValues>
 <spirit:configurableElementValue spirit:referenceId="CLKCNT">PS2_MODEL_CLKCNT</spirit:configurableElementValue>
 <spirit:configurableElementValue spirit:referenceId="SIZE">PS2_MODEL_SIZE</spirit:configurableElementValue>

</spirit:configurableElementValues>
</spirit:componentInstance>



<spirit:componentInstance>
 <spirit:instanceName>ps2_clk_pad</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="od_dig"/>
</spirit:componentInstance>

<spirit:componentInstance>
 <spirit:instanceName>ps2_data_pad</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="od_dig"/>
</spirit:componentInstance>




<spirit:componentInstance>
<spirit:instanceName>fmc</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="flash_memcontrl" spirit:version="def"/>
</spirit:componentInstance>



<spirit:componentInstance>
<spirit:instanceName>memdb_pad</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="pad" spirit:version="se_dig"/>
 <spirit:configurableElementValues>
 <spirit:configurableElementValue spirit:referenceId="WIDTH">16</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>


<spirit:componentInstance>
<spirit:instanceName>psram</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="mt45w8mw12" spirit:version="def"/>
</spirit:componentInstance>










</spirit:componentInstances>













</spirit:design>



   


Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.