OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [uart/] [sim/] [icarus/] [default/] [test_define] - Rev 131

Compare with Previous | Blame | View Log



initial
 begin
 $display("              ");
 $display("          ===================================================");
 $display("%t    Start Test  ",$realtime );
 $display("          ===================================================");
 $display("              ");


 fork
 begin

 test.cg.next(12);
 
 test.cg.next(88);
 test.uart_host.txd_parity <= 1'b1;
 test.uart_host.rxd_parity <= 1'b1;
 test.uart_host.parity_enable <= 1'b1;
 test.uart_host.send_byte(8'h12);
 test.cg.next(30);
 test.uart_host.send_byte(8'h34);
 test.cg.next(30);
 test.uart_host.send_byte(8'h56);
 test.cg.next(30);
 test.uart_host.send_byte(8'h78);
 test.cg.next(30);
 test.uart_host.send_byte(8'h9a);
 test.cg.next(30);
 test.uart_host.send_byte(8'hbc);
 test.cg.next(30);
 test.uart_host.send_byte(8'hde);
 test.cg.next(30);
 test.uart_host.send_byte(8'hf0);
 test.cg.next(30);
 test.uart_host.send_byte(8'h12);
 test.cg.next(30);
 test.uart_host.send_byte(8'h34);
 test.cg.next(100);
 test.uart_host.rcv_byte(8'h66);
 test.cg.next(100);

 test.uart_host.rcv_byte(8'h12);
 test.cg.next(100);

 test.uart_host.rcv_byte(8'h34);
 test.cg.next(100);

 test.uart_host.rcv_byte(8'h56);
 test.cg.next(100);

  end


 begin
 test.cg.next(20);
 test.uart_model.rx_parity_enable  <= 1'b1;
 test.uart_model.txd_parity_enable <= 1'b1;
 test.uart_model.rx_parity         <= 1'b1;
 test.uart_model.txd_parity        <= 1'b1;

 test.cg.next(10);
 test.uart_model.rcv_byte(8'h12);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h34);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h56);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h78);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h9a);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'hbc);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'hde);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'hf0);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h12);
 test.cg.next(10);
 test.uart_model.rcv_byte(8'h34);
 test.cg.next(100);
 test.uart_model.send_byte(8'h66);
 test.cg.next(100);
 test.uart_model.send_byte(8'h12);
 test.cg.next(100);
 test.uart_model.send_byte(8'h34);
 test.cg.next(100);
 test.uart_model.send_byte(8'h56);
 end

 join
 test.cg.exit;
end




Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.