OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [bfms/] [micro_bus_model/] [rtl/] [verilog/] [top.syn] - Rev 133

Compare with Previous | Blame | View Log

 
always@(posedge clk)
  if(reset)
    begin
      addr          <= 16'h0000;
      wdata         <=  8'h00;
      wr            <=  1'b0;
      rd            <=  1'b0;
      cs            <=  1'b1;
      exp_rdata     <=  8'h00;
      mask_rdata    <=  8'h00;       
   end
 
 
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.