OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [mult/] [sim/] [icarus/] [generic/] [test_define] - Rev 131

Compare with Previous | Blame | View Log


initial
begin
$display("              ");
$display("              ===================================================");
$display("              Test Start");
$display("              ===================================================");
$display("              ");
test.a_in_R         <= 32'h00000000;
test.b_in_R         <= 32'h00000000;
test.mul_prod_exp_R <= 64'h00000000_00000000;
test.alu_op_mul_R   <= 1'b0;
test.ex_freeze_R    <= 1'b0;
test.mask_R         <= 1'b0;
test.cg.next(2);

test.cg.next(20);
test.u_cmp(32'h80000004,32'h80000007,32'h8000001c);

test.u_cmp(32'h11111111,32'h22222222,32'h0eca8642);
test.u_cmp(32'h33333333,32'h44444444,32'h58bf258c);
test.u_cmp(32'h12233455,32'hfed43562,32'he7b3a18a);
test.u_cmp(32'h12233455,32'h7ed43562,32'h67b3a18a);
test.u_cmp(32'h92233455,32'h7ed43562,32'h67b3a18a);
test.u_cmp(32'h92233455,32'hfed43562,32'he7b3a18a);
test.u_cmp(32'h00000003,32'h00000002,32'h00000006);
test.u_cmp(32'h00000019,32'h00000002,32'h00000032);
test.u_cmp(32'h00000032,32'h00000002,32'h00000064);
test.u_cmp(32'h00000064,32'h00000002,32'h000000c8);
test.u_cmp(32'h00000024,32'h00000001,32'h00000024);
test.u_cmp(32'h00000045,32'h00000001,32'h00000045);
test.u_cmp(32'h0000008d,32'h00000001,32'h0000008d);
test.u_cmp(32'h00000085,32'h00000008,32'h00000428);
test.u_cmp(32'h000004bf,32'h00000008,32'h000025f8);
test.u_cmp(32'h0000255c,32'h00000008,32'h00012ae0);
test.u_cmp(32'h00012b81,32'h00000008,32'h00095c08);
test.u_cmp(32'h00095b73,32'h00000008,32'h004adb98);
test.u_cmp(32'h0095b73a,32'h00000008,32'h04adb9d0);
test.u_cmp(32'h095b7392,32'h00000008,32'h4adb9c90);
test.u_cmp(32'h6a48c6ce,32'h00000008,32'h52463670);
test.u_cmp(32'h62de2cfa,32'h00000008,32'h16f167d0);
test.u_cmp(32'h4adb9c91,32'h00000002,32'h95b73922);
test.u_cmp(32'h53575f05,32'h0000000c,32'he818743c);
test.u_cmp(32'h6a48c6de,32'h00000002,32'hd4918dbc);
test.u_cmp(32'h1386e68d,32'h00000010,32'h386e68d0);
test.u_cmp(32'h2b6e7244,32'h00000002,32'h56dce488);
test.u_cmp(32'h1e6e7bc9,32'h00000014,32'h60a1abb4);
test.u_cmp(32'h09c4c727,32'h00000008,32'h4e263938);
test.u_cmp(32'h4e263938,32'h00000001,32'h4e263938);
test.u_cmp(32'h63b38d87,32'h0000000c,32'hac6aa254);
test.u_cmp(32'h53955dac,32'h00000001,32'h53955dac);
test.u_cmp(32'h58d544b5,32'h00000010,32'h8d544b50);
test.u_cmp(32'h72abb4b0,32'h00000001,32'h72abb4b0);
test.u_cmp(32'h1aa8968f,32'h00000014,32'h152bc32c);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h1f5ddf1d,32'h00000002,32'h3ebbbe3a);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h3ebbbe3a,32'h00000002,32'h7d777c74);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h7d777c74,32'h00000002,32'hfaeef8e8);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h1f5ddf24,32'h00000001,32'h1f5ddf24);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h3ebbbe41,32'h00000001,32'h3ebbbe41);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h7d777c91,32'h00000001,32'h7d777c91);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h7d777c6d,32'h00000008,32'hebbbe368);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h69336003,32'h00000008,32'h499b0018);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h33dc7c8c,32'h00000008,32'h9ee3e460);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h216c67ab,32'h00000008,32'h0b633d58);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h7725460f,32'h00000008,32'hb92a3078);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h725460fa,32'h00000008,32'h92a307d0);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h25460f8e,32'h00000008,32'h2a307c70);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h5460f902,32'h00000008,32'ha307c810);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h78d74b9a,32'h00000008,32'hc6ba5cd0);
test.u_cmp(32'h2a307c71,32'h00000002,32'h5460f8e2);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h1b1b55bb,32'h00000010,32'hb1b55bb0);
test.u_cmp(32'h5460f8e2,32'h00000002,32'ha8c1f1c4);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h08f369db,32'h00000020,32'h1e6d3b60);
test.u_cmp(32'h573e0e3c,32'h00000002,32'hae7c1c78);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h6ff11f09,32'h00000040,32'hfc47c240);
test.u_cmp(32'h00000004,32'h00000002,32'h00000008);
test.u_cmp(32'h553c214d,32'h00000008,32'ha9e10a68);
test.u_cmp(32'h561ef598,32'h00000001,32'h561ef598);
test.u_cmp(32'h00000008,32'h00000002,32'h00000010);
test.u_cmp(32'h53c214c7,32'h00000010,32'h3c214c70);
test.u_cmp(32'h3c214c70,32'h00000001,32'h3c214c70);
test.u_cmp(32'h00000010,32'h00000002,32'h00000020);
test.u_cmp(32'h784298f1,32'h00000020,32'h08531e20);
test.u_cmp(32'h08531e20,32'h00000001,32'h08531e20);
test.u_cmp(32'h00000020,32'h00000002,32'h00000040);
test.u_cmp(32'h10a63c1f,32'h00000040,32'h298f07c0);
test.u_cmp(32'h3034e141,32'h00000002,32'h6069c282);
test.u_cmp(32'h6069c273,32'h6069c263,32'h4f6c5879);


test.u_cmp(32'h000000a5,32'h00000005,32'h00000339);
test.u_cmp(32'h00000052,32'h00000005,32'h0000019a);
test.u_cmp(32'h000002d7,32'h00000069,32'h00012a2f);
test.u_cmp(32'h7ffffe94,32'h00000069,32'h7fff6ab4);
test.u_cmp(32'h000001b6,32'h00000072,32'h0000c30c);
test.u_cmp(32'h7fffff25,32'h00000072,32'hffff9e7a);
test.u_cmp(32'h00000392,32'h0000001c,32'h000063f8);
test.u_cmp(32'h7ffffe37,32'h0000001c,32'hffffce04);
test.u_cmp(32'h000000e4,32'h00000007,32'h0000063c);
test.u_cmp(32'h00000072,32'h00000007,32'h0000031e);
test.u_cmp(32'h000002c7,32'h00000069,32'h0001239f);
test.u_cmp(32'h00000163,32'h00000069,32'h0000919b);
test.u_cmp(32'h000001b2,32'h00000072,32'h0000c144);
test.u_cmp(32'h7fffff27,32'h00000072,32'hffff9f5e);
test.u_cmp(32'h00000393,32'h00000034,32'h0000b9dc);
test.u_cmp(32'h7ffffe36,32'h00000034,32'hffffa2f8);
test.u_cmp(32'h0000049c,32'h0000005b,32'h0001a374);
test.u_cmp(32'h7ffffdb2,32'h0000005b,32'h7fff2e46);
test.u_cmp(32'h00000027,32'h00000052,32'h00000c7e);
test.u_cmp(32'h00000013,32'h00000052,32'h00000616);
test.u_cmp(32'h00000576,32'h00000005,32'h00001b4e);
test.u_cmp(32'h7ffffd45,32'h00000005,32'h7ffff259);
test.u_cmp(32'h00000523,32'h00000056,32'h0001b9c2);
test.u_cmp(32'h00000291,32'h00000056,32'h0000dcb6);
test.u_cmp(32'h000002b7,32'h00000015,32'h00003903);
test.u_cmp(32'h7ffffea4,32'h00000015,32'h7fffe374);
test.u_cmp(32'h00000253,32'h00000043,32'h00009bb9);
test.u_cmp(32'h7ffffed6,32'h00000043,32'h7fffb202);
test.u_cmp(32'h000005eb,32'h0000002f,32'h00011625);
test.u_cmp(32'h000002f5,32'h0000002f,32'h00008afb);
test.u_cmp(32'h0000017a,32'h00000025,32'h000036a2);
test.u_cmp(32'h7fffff43,32'h00000025,32'h7fffe4af);
test.u_cmp(32'h00000422,32'h00000072,32'h0001d724);
test.u_cmp(32'h00000211,32'h00000072,32'h0000eb92);
test.u_cmp(32'h00000477,32'h0000000d,32'h00003a0b);
test.u_cmp(32'h7ffffdc4,32'h0000000d,32'h7fffe2f4);
test.u_cmp(32'h0000079d,32'h0000003c,32'h0001c8cc);
test.u_cmp(32'h7ffffc31,32'h0000003c,32'hffff1b7c);
test.u_cmp(32'h000001e7,32'h00000021,32'h00003ec7);
test.u_cmp(32'h000000f3,32'h00000021,32'h00001f53);
test.u_cmp(32'h00000407,32'h00000071,32'h0001c717);
test.u_cmp(32'h00000203,32'h00000071,32'h0000e353);
test.u_cmp(32'h0000047e,32'h0000000d,32'h00003a66);
test.u_cmp(32'h7ffffdc1,32'h0000000d,32'h7fffe2cd);
test.u_cmp(32'h00000561,32'h00000054,32'h0001c3d4);
test.u_cmp(32'h000002b0,32'h00000054,32'h0000e1c0);
test.u_cmp(32'h00000059,32'h0000007d,32'h00002b75);
test.u_cmp(32'h7fffffd3,32'h0000007d,32'h7fffea07);
test.u_cmp(32'h000003e9,32'h00000031,32'h0000bf99);
test.u_cmp(32'h000001f4,32'h00000031,32'h00005fb4);
test.u_cmp(32'h0000067a,32'h00000033,32'h00014a4e);
test.u_cmp(32'h7ffffcc3,32'h00000033,32'h7fff5ad9);
test.u_cmp(32'h00000362,32'h0000004c,32'h00010118);
test.u_cmp(32'h000001b1,32'h0000004c,32'h0000808c);
test.u_cmp(32'h000005a7,32'h00000003,32'h000010f5);
test.u_cmp(32'h000002d3,32'h00000003,32'h00000879);
test.u_cmp(32'h000007e9,32'h00000011,32'h00008679);
test.u_cmp(32'h000003f4,32'h00000011,32'h00004334);
test.u_cmp(32'h00000586,32'h00000053,32'h0001ca72);
test.u_cmp(32'h000002c3,32'h00000053,32'h0000e539);
test.u_cmp(32'h00000062,32'h0000007c,32'h00002f78);
test.u_cmp(32'h00000031,32'h0000007c,32'h000017bc);
test.u_cmp(32'h000003e7,32'h0000001f,32'h000078f9);
test.u_cmp(32'h000001f3,32'h0000001f,32'h00003c6d);
test.u_cmp(32'h00000207,32'h0000006f,32'h0000e109);
test.u_cmp(32'h00000103,32'h0000006f,32'h0000704d);
test.u_cmp(32'h00000182,32'h00000073,32'h0000ad66);
test.u_cmp(32'h000000c1,32'h00000073,32'h000056b3);
test.u_cmp(32'h00000161,32'h00000074,32'h00009ff4);
test.u_cmp(32'h000000b0,32'h00000074,32'h00004fc0);
test.u_cmp(32'h000003a7,32'h0000001d,32'h000069eb);
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
test.u_cmp(32'h00000217,32'h00000041,32'h000087d7);
test.u_cmp(32'h7ffffef4,32'h00000041,32'h7fffbbf4);
test.u_cmp(32'h000005fa,32'h00000001,32'h000005fa);
test.u_cmp(32'h7ffffd03,32'h00000001,32'h7ffffd03);
test.u_cmp(32'h000007fe,32'h00000011,32'h000087de);
test.u_cmp(32'h7ffffc01,32'h00000011,32'h7fffbc11);
test.u_cmp(32'h00000581,32'h0000007d,32'h0002affd);
test.u_cmp(32'h000002c0,32'h0000007d,32'h000157c0);
test.u_cmp(32'h0000041f,32'h00000020,32'h000083e0);
test.u_cmp(32'h7ffffdf0,32'h00000020,32'hffffbe00);
test.u_cmp(32'h000003f9,32'h00000060,32'h00017d60);
test.u_cmp(32'h7ffffe03,32'h00000060,32'hffff4120);
test.u_cmp(32'h000001ff,32'h00000070,32'h0000df90);
test.u_cmp(32'h7fffff00,32'h00000070,32'hffff9000);
test.u_cmp(32'h00000380,32'h0000001c,32'h00006200);
test.u_cmp(32'h000001c0,32'h0000001c,32'h00003100);
test.u_cmp(32'h00000220,32'h0000006f,32'h0000ebe0);
test.u_cmp(32'h7ffffef0,32'h0000006f,32'h7fff8a10);
test.u_cmp(32'h00000188,32'h00000073,32'h0000b018);
test.u_cmp(32'h000000c4,32'h00000073,32'h0000580c);
test.u_cmp(32'h00000162,32'h00000074,32'h0000a068);
test.u_cmp(32'h000000b1,32'h00000074,32'h00005034);
test.u_cmp(32'h000003a7,32'h0000001d,32'h000069eb);
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
test.u_cmp(32'h00000217,32'h00000041,32'h000087d7);
test.u_cmp(32'h7ffffef4,32'h00000041,32'h7fffbbf4);
test.u_cmp(32'h000005fa,32'h00000001,32'h000005fa);
test.u_cmp(32'h7ffffd03,32'h00000001,32'h7ffffd03);
test.u_cmp(32'h000007fe,32'h00000011,32'h000087de);
test.u_cmp(32'h7ffffc01,32'h00000011,32'h7fffbc11);
test.u_cmp(32'h0000077f,32'h00000015,32'h00009d6b);
test.u_cmp(32'h7ffffc40,32'h00000015,32'h7fffb140);
test.u_cmp(32'h0000075f,32'h00000016,32'h0000a22a);
test.u_cmp(32'h7ffffc50,32'h00000016,32'hffffaee0);
test.u_cmp(32'h000005a9,32'h0000007e,32'h0002c92e);
test.u_cmp(32'h000002d4,32'h0000007e,32'h00016458);
test.u_cmp(32'h000006eb,32'h00000068,32'h0002cf78);
test.u_cmp(32'h00000375,32'h00000068,32'h00016788);
test.u_cmp(32'h0000063b,32'h00000062,32'h00026296);
test.u_cmp(32'h7ffffce2,32'h00000062,32'hfffece84);
test.u_cmp(32'h0000060f,32'h00000061,32'h00024baf);
test.u_cmp(32'h00000307,32'h00000061,32'h000125a7);
test.u_cmp(32'h000004fc,32'h00000009,32'h00002cdc);
test.u_cmp(32'h7ffffd82,32'h00000009,32'h7fffe992);
test.u_cmp(32'h000007bf,32'h00000013,32'h0000932d);
test.u_cmp(32'h7ffffc20,32'h00000013,32'h7fffb660);
test.u_cmp(32'h00000591,32'h0000007d,32'h0002b7cd);
test.u_cmp(32'h7ffffd37,32'h0000007d,32'h7ffea3db);
test.u_cmp(32'h0000041b,32'h00000020,32'h00008360);
test.u_cmp(32'h7ffffdf2,32'h00000020,32'hffffbe40);
test.u_cmp(32'h000003fa,32'h00000060,32'h00017dc0);
test.u_cmp(32'h7ffffe03,32'h00000060,32'hffff4120);
test.u_cmp(32'h000001ff,32'h00000070,32'h0000df90);
test.u_cmp(32'h7fffff00,32'h00000070,32'hffff9000);
test.u_cmp(32'h00000180,32'h00000074,32'h0000ae00);
test.u_cmp(32'h7fffff40,32'h00000074,32'hffffa900);
test.u_cmp(32'h00000160,32'h00000075,32'h0000a0e0);
test.u_cmp(32'h7fffff50,32'h00000075,32'h7fffaf90);
test.u_cmp(32'h000003a8,32'h00000033,32'h0000ba78);
test.u_cmp(32'h000001d4,32'h00000033,32'h00005d3c);
test.u_cmp(32'h00000496,32'h0000005b,32'h0001a152);
test.u_cmp(32'h7ffffdb5,32'h0000005b,32'h7fff2f57);
test.u_cmp(32'h000002da,32'h00000016,32'h00003ebc);
test.u_cmp(32'h7ffffe93,32'h00000016,32'hffffe0a2);
test.u_cmp(32'h000000b6,32'h0000002b,32'h00001e92);
test.u_cmp(32'h7fffffa5,32'h0000002b,32'h7ffff0b7);
test.u_cmp(32'h000006ad,32'h0000001b,32'h0000b43f);
test.u_cmp(32'h00000356,32'h0000001b,32'h00005a12);
test.u_cmp(32'h0000072b,32'h00000017,32'h0000a4dd);
test.u_cmp(32'h00000395,32'h00000017,32'h00005263);
test.u_cmp(32'h0000074a,32'h0000003a,32'h0001a6c4);
test.u_cmp(32'h000003a5,32'h0000003a,32'h0000d362);
test.u_cmp(32'h0000032e,32'h00000066,32'h00014454);
test.u_cmp(32'h00000197,32'h00000066,32'h0000a22a);
test.u_cmp(32'h00000334,32'h00000019,32'h00005014);
test.u_cmp(32'h7ffffe66,32'h00000019,32'h7fffd7f6);
test.u_cmp(32'h000000cd,32'h00000006,32'h000004ce);
test.u_cmp(32'h00000066,32'h00000006,32'h00000264);
test.u_cmp(32'h00000033,32'h00000001,32'h00000033);
test.u_cmp(32'h7fffffe6,32'h00000001,32'h7fffffe6);
test.u_cmp(32'h0000000c,32'h00000000,32'h00000000);
test.u_cmp(32'h00000006,32'h00000000,32'h00000000);
test.u_cmp(32'h000002fd,32'h00000048,32'h0000d728);
test.u_cmp(32'h7ffffe81,32'h00000048,32'hffff9448);
test.u_cmp(32'h000005c0,32'h0000002e,32'h00010880);
test.u_cmp(32'h000002e0,32'h0000002e,32'h00008440);
test.u_cmp(32'h00000390,32'h00000063,32'h000160b0);
test.u_cmp(32'h000001c8,32'h00000063,32'h0000b058);
test.u_cmp(32'h0000031c,32'h00000038,32'h0000ae20);
test.u_cmp(32'h7ffffe72,32'h00000038,32'hffffa8f0);
test.u_cmp(32'h00000647,32'h00000032,32'h000139de);
test.u_cmp(32'h00000323,32'h00000032,32'h00009cd6);
test.u_cmp(32'h0000036f,32'h0000004c,32'h000104f4);
test.u_cmp(32'h000001b7,32'h0000004c,32'h00008254);
test.u_cmp(32'h000005a4,32'h0000002d,32'h0000fdd4);
test.u_cmp(32'h000002d2,32'h0000002d,32'h00007eea);
test.u_cmp(32'h00000169,32'h00000025,32'h0000342d);
test.u_cmp(32'h000000b4,32'h00000025,32'h00001a04);
test.u_cmp(32'h00000426,32'h0000005e,32'h000185f4);
test.u_cmp(32'h00000213,32'h0000005e,32'h0000c2fa);
test.u_cmp(32'h0000000a,32'h00000051,32'h0000032a);
test.u_cmp(32'h00000005,32'h00000051,32'h00000195);
test.u_cmp(32'h00000783,32'h00000043,32'h0001f749);
test.u_cmp(32'h000003c1,32'h00000043,32'h0000fb83);
test.u_cmp(32'h000000e1,32'h00000058,32'h00004d58);
test.u_cmp(32'h00000070,32'h00000058,32'h00002680);
test.u_cmp(32'h000007b9,32'h0000006e,32'h0003517e);
test.u_cmp(32'h7ffffc23,32'h0000006e,32'hfffe570a);
test.u_cmp(32'h0000066f,32'h00000064,32'h0002835c);
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
test.u_cmp(32'h0000061c,32'h0000004f,32'h0001e2a4);
test.u_cmp(32'h7ffffcf2,32'h0000004f,32'h7fff0eae);
test.u_cmp(32'h00000087,32'h0000007b,32'h000040dd);
test.u_cmp(32'h00000043,32'h0000007b,32'h00002031);
test.u_cmp(32'h000003de,32'h0000001e,32'h00007404);
test.u_cmp(32'h7ffffe11,32'h0000001e,32'hffffc5fe);
test.u_cmp(32'h000000f7,32'h00000029,32'h0000278f);
test.u_cmp(32'h7fffff84,32'h00000029,32'h7fffec24);
test.u_cmp(32'h00000443,32'h00000073,32'h0001ea19);
test.u_cmp(32'h00000221,32'h00000073,32'h0000f4d3);
test.u_cmp(32'h0000046f,32'h00000023,32'h00009b2d);
test.u_cmp(32'h00000237,32'h00000023,32'h00004d85);
test.u_cmp(32'h000003e5,32'h00000060,32'h000175e0);
test.u_cmp(32'h000001f2,32'h00000060,32'h0000bac0);
test.u_cmp(32'h00000306,32'h00000038,32'h0000a950);
test.u_cmp(32'h00000183,32'h00000038,32'h000054a8);
test.u_cmp(32'h00000641,32'h0000001e,32'h0000bb9e);
test.u_cmp(32'h00000320,32'h0000001e,32'h00005dc0);
test.u_cmp(32'h00000710,32'h00000018,32'h0000a980);
test.u_cmp(32'h7ffffc78,32'h00000018,32'hffffab40);
test.u_cmp(32'h000005bc,32'h0000007e,32'h0002d288);
test.u_cmp(32'h7ffffd22,32'h0000007e,32'hfffe96bc);
test.u_cmp(32'h000006ef,32'h00000048,32'h0001f338);
test.u_cmp(32'h00000377,32'h00000048,32'h0000f978);
test.u_cmp(32'h00000244,32'h00000012,32'h000028c8);
test.u_cmp(32'h00000122,32'h00000012,32'h00001464);
test.u_cmp(32'h0000026f,32'h0000006c,32'h000106d4);
test.u_cmp(32'h00000137,32'h0000006c,32'h00008334);
test.u_cmp(32'h0000019c,32'h00000073,32'h0000b914);
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
test.u_cmp(32'h00000399,32'h00000034,32'h0000bb14);
test.u_cmp(32'h7ffffe33,32'h00000034,32'hffffa25c);
test.u_cmp(32'h00000666,32'h0000001d,32'h0000b98e);
test.u_cmp(32'h00000333,32'h0000001d,32'h00005cc7);
test.u_cmp(32'h00000719,32'h00000038,32'h00018d78);
test.u_cmp(32'h7ffffc73,32'h00000038,32'hffff3928);
test.u_cmp(32'h0000033a,32'h00000066,32'h0001491c);
test.u_cmp(32'h7ffffe63,32'h00000066,32'hffff5b72);
test.u_cmp(32'h00000331,32'h00000019,32'h00004fc9);
test.u_cmp(32'h7ffffe67,32'h00000019,32'h7fffd80f);
test.u_cmp(32'h000000cc,32'h00000006,32'h000004c8);
test.u_cmp(32'h00000066,32'h00000006,32'h00000264);
test.u_cmp(32'h00000033,32'h0000002f,32'h0000095d);
test.u_cmp(32'h7fffffe6,32'h0000002f,32'h7ffffb3a);
test.u_cmp(32'h00000474,32'h00000074,32'h00020490);
test.u_cmp(32'h7ffffdc6,32'h00000074,32'hfffefdb8);
test.u_cmp(32'h0000069d,32'h0000004b,32'h0001efff);
test.u_cmp(32'h7ffffcb1,32'h0000004b,32'h7fff07db);
test.u_cmp(32'h00000258,32'h00000012,32'h00002a30);
test.u_cmp(32'h7ffffed4,32'h00000012,32'hffffeae8);
test.u_cmp(32'h00000096,32'h0000002c,32'h000019c8);
test.u_cmp(32'h7fffffb5,32'h0000002c,32'hfffff31c);
test.u_cmp(32'h0000045b,32'h00000073,32'h0001f4e1);
test.u_cmp(32'h7ffffdd2,32'h00000073,32'h7fff0556);
test.u_cmp(32'h00000469,32'h0000000d,32'h00003955);
test.u_cmp(32'h00000234,32'h0000000d,32'h00001ca4);
test.u_cmp(32'h00000566,32'h0000007c,32'h00029d68);
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
test.u_cmp(32'h00000426,32'h0000000f,32'h00003e3a);
test.u_cmp(32'h00000213,32'h0000000f,32'h00001f1d);
test.u_cmp(32'h00000577,32'h0000007c,32'h0002a5a4);
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
test.u_cmp(32'h000006de,32'h00000049,32'h0001f54e);
test.u_cmp(32'h7ffffc91,32'h00000049,32'h7fff0559);
test.u_cmp(32'h000000b8,32'h00000056,32'h00003dd0);
test.u_cmp(32'h7fffffa4,32'h00000056,32'hffffe118);
test.u_cmp(32'h000007ae,32'h00000042,32'h0001fadc);
test.u_cmp(32'h000003d7,32'h00000042,32'h0000fd6e);
test.u_cmp(32'h000000ec,32'h00000058,32'h00005120);
test.u_cmp(32'h00000076,32'h00000058,32'h00002890);
test.u_cmp(32'h000007bb,32'h0000006e,32'h0003525a);
test.u_cmp(32'h7ffffc22,32'h0000006e,32'hfffe569c);
test.u_cmp(32'h00000491,32'h0000000c,32'h000036cc);
test.u_cmp(32'h7ffffdb7,32'h0000000c,32'hffffe494);
test.u_cmp(32'h0000055c,32'h00000055,32'h0001c78c);
test.u_cmp(32'h7ffffd52,32'h00000055,32'h7fff1c3a);
test.u_cmp(32'h000002a9,32'h00000015,32'h000037dd);
test.u_cmp(32'h00000154,32'h00000015,32'h00001be4);
test.u_cmp(32'h00000256,32'h00000043,32'h00009c82);
test.u_cmp(32'h7ffffed5,32'h00000043,32'h7fffb1bf);
test.u_cmp(32'h000005ea,32'h00000001,32'h000005ea);
test.u_cmp(32'h000002f5,32'h00000001,32'h000002f5);
test.u_cmp(32'h00000506,32'h00000079,32'h00025fd6);
test.u_cmp(32'h00000283,32'h00000079,32'h00012feb);
test.u_cmp(32'h0000043e,32'h00000021,32'h00008bfe);
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
test.u_cmp(32'h0000010f,32'h00000008,32'h00000878);
test.u_cmp(32'h00000087,32'h00000008,32'h00000438);
test.u_cmp(32'h000002bd,32'h00000046,32'h0000bfae);
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
test.u_cmp(32'h00000730,32'h00000046,32'h0001f720);
test.u_cmp(32'h00000398,32'h00000046,32'h0000fb90);
test.u_cmp(32'h00000234,32'h0000003f,32'h00008acc);
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
test.u_cmp(32'h000004f3,32'h00000078,32'h000251e8);
test.u_cmp(32'h7ffffd86,32'h00000078,32'hfffed6d0);
test.u_cmp(32'h000006bd,32'h0000004a,32'h0001f2a2);
test.u_cmp(32'h7ffffca1,32'h0000004a,32'hffff068a);
test.u_cmp(32'h00000250,32'h00000012,32'h000029a0);
test.u_cmp(32'h7ffffed8,32'h00000012,32'hffffeb30);
test.u_cmp(32'h0000026c,32'h00000044,32'h0000a4b0);
test.u_cmp(32'h00000136,32'h00000044,32'h00005258);
test.u_cmp(32'h000005e5,32'h0000002f,32'h0001150b);
test.u_cmp(32'h000002f2,32'h0000002f,32'h00008a6e);
test.u_cmp(32'h00000387,32'h0000004d,32'h00010f9b);
test.u_cmp(32'h000001c3,32'h0000004d,32'h000087a7);
test.u_cmp(32'h0000059e,32'h00000004,32'h00001678);
test.u_cmp(32'h7ffffd31,32'h00000004,32'hfffff4c4);
test.u_cmp(32'h000007e7,32'h00000011,32'h00008657);
test.u_cmp(32'h000003f3,32'h00000011,32'h00004323);
test.u_cmp(32'h00000779,32'h0000003b,32'h0001b8e3);
test.u_cmp(32'h7ffffc43,32'h0000003b,32'h7fff2371);
test.u_cmp(32'h000001de,32'h0000000e,32'h00001a24);
test.u_cmp(32'h7fffff11,32'h0000000e,32'hfffff2ee);
test.u_cmp(32'h00000077,32'h0000002d,32'h000014eb);
test.u_cmp(32'h7fffffc4,32'h0000002d,32'h7ffff574);
test.u_cmp(32'h00000463,32'h0000005c,32'h00019394);
test.u_cmp(32'h00000231,32'h0000005c,32'h0000c99c);
test.u_cmp(32'h00000019,32'h00000051,32'h000007e9);
test.u_cmp(32'h7ffffff3,32'h00000051,32'h7ffffbe3);
test.u_cmp(32'h00000787,32'h0000006d,32'h0003347b);
test.u_cmp(32'h000003c3,32'h0000006d,32'h00019a07);
test.u_cmp(32'h00000662,32'h0000004c,32'h0001e518);
test.u_cmp(32'h00000331,32'h0000004c,32'h0000f28c);
test.u_cmp(32'h00000267,32'h0000003d,32'h0000928b);
test.u_cmp(32'h00000133,32'h0000003d,32'h00004927);
test.u_cmp(32'h000004e7,32'h00000078,32'h00024c48);
test.u_cmp(32'h00000273,32'h00000078,32'h000125e8);
test.u_cmp(32'h00000446,32'h0000000e,32'h00003bd4);
test.u_cmp(32'h00000223,32'h0000000e,32'h00001dea);
test.u_cmp(32'h00000791,32'h00000014,32'h00009754);
test.u_cmp(32'h7ffffc37,32'h00000014,32'hffffb44c);
test.u_cmp(32'h0000059c,32'h0000007d,32'h0002bd2c);
test.u_cmp(32'h7ffffd32,32'h0000007d,32'h7ffea16a);
test.u_cmp(32'h00000419,32'h00000010,32'h00004190);
test.u_cmp(32'h7ffffdf3,32'h00000010,32'hffffdf30);
test.u_cmp(32'h00000786,32'h00000014,32'h00009678);
test.u_cmp(32'h000003c3,32'h00000014,32'h00004b3c);
test.u_cmp(32'h0000059f,32'h00000053,32'h0001d28d);
test.u_cmp(32'h7ffffd30,32'h00000053,32'h7fff1690);
test.u_cmp(32'h00000068,32'h0000007c,32'h00003260);
test.u_cmp(32'h00000034,32'h0000007c,32'h00001930);
test.u_cmp(32'h000003e6,32'h0000001f,32'h000078da);
test.u_cmp(32'h000001f3,32'h0000001f,32'h00003c6d);
test.u_cmp(32'h00000207,32'h0000006f,32'h0000e109);
test.u_cmp(32'h00000103,32'h0000006f,32'h0000704d);
test.u_cmp(32'h00000182,32'h00000073,32'h0000ad66);
test.u_cmp(32'h000000c1,32'h00000073,32'h000056b3);
test.u_cmp(32'h0000039f,32'h0000001c,32'h00006564);
test.u_cmp(32'h7ffffe30,32'h0000001c,32'hffffcd40);
test.u_cmp(32'h00000219,32'h0000006f,32'h0000e8d7);
test.u_cmp(32'h7ffffef3,32'h0000006f,32'h7fff8b5d);
test.u_cmp(32'h00000379,32'h0000001b,32'h00005dc3);
test.u_cmp(32'h7ffffe43,32'h0000001b,32'h7fffd111);
test.u_cmp(32'h000000de,32'h0000002a,32'h0000246c);
test.u_cmp(32'h7fffff91,32'h0000002a,32'hffffedca);
test.u_cmp(32'h00000449,32'h00000073,32'h0001eccb);
test.u_cmp(32'h00000224,32'h00000073,32'h0000f62c);
test.u_cmp(32'h0000046d,32'h0000000d,32'h00003989);
test.u_cmp(32'h00000236,32'h0000000d,32'h00001cbe);
test.u_cmp(32'h00000565,32'h0000007c,32'h00029cec);
test.u_cmp(32'h000002b2,32'h0000007c,32'h00014e38);
test.u_cmp(32'h000006da,32'h00000067,32'h0002c1b6);
test.u_cmp(32'h7ffffc93,32'h00000067,32'h7ffe9f25);
test.u_cmp(32'h000004c9,32'h0000000a,32'h00002fda);
test.u_cmp(32'h00000264,32'h0000000a,32'h000017e8);
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
test.u_cmp(32'h0000078b,32'h00000014,32'h000096dc);
test.u_cmp(32'h000003c5,32'h00000014,32'h00004b64);
test.u_cmp(32'h00000762,32'h00000015,32'h00009b0a);
test.u_cmp(32'h000003b1,32'h00000015,32'h00004d85);
test.u_cmp(32'h000005a8,32'h0000007e,32'h0002c8b0);
test.u_cmp(32'h000002d4,32'h0000007e,32'h00016458);
test.u_cmp(32'h00000416,32'h00000010,32'h00004160);
test.u_cmp(32'h7ffffdf5,32'h00000010,32'hffffdf50);
test.u_cmp(32'h00000785,32'h00000014,32'h00009664);
test.u_cmp(32'h000003c2,32'h00000014,32'h00004b28);
test.u_cmp(32'h0000059f,32'h00000053,32'h0001d28d);
test.u_cmp(32'h7ffffd30,32'h00000053,32'h7fff1690);
test.u_cmp(32'h00000068,32'h00000054,32'h00002220);
test.u_cmp(32'h00000034,32'h00000054,32'h00001110);
test.u_cmp(32'h00000566,32'h00000005,32'h00001afe);
test.u_cmp(32'h000002b3,32'h00000005,32'h00000d7f);
test.u_cmp(32'h00000527,32'h0000007a,32'h00027496);
test.u_cmp(32'h00000293,32'h0000007a,32'h00013a0e);
test.u_cmp(32'h00000436,32'h00000021,32'h00008af6);
test.u_cmp(32'h7ffffde5,32'h00000021,32'h7fffba85);
test.u_cmp(32'h0000010d,32'h00000028,32'h00002a08);
test.u_cmp(32'h00000086,32'h00000028,32'h000014f0);
test.u_cmp(32'h000006c3,32'h00000036,32'h00016d22);
test.u_cmp(32'h00000361,32'h00000036,32'h0000b676);
test.u_cmp(32'h00000350,32'h0000004b,32'h0000f870);
test.u_cmp(32'h000001a8,32'h0000004b,32'h00007c38);
test.u_cmp(32'h00000754,32'h0000006b,32'h0003101c);
test.u_cmp(32'h7ffffc56,32'h0000006b,32'h7ffe77f2);
test.u_cmp(32'h000004ab,32'h0000000b,32'h00003359);
test.u_cmp(32'h00000255,32'h0000000b,32'h000019a7);
test.u_cmp(32'h00000556,32'h00000055,32'h0001c58e);
test.u_cmp(32'h7ffffd55,32'h00000055,32'h7fff1d39);
test.u_cmp(32'h000002aa,32'h00000015,32'h000037f2);
test.u_cmp(32'h00000155,32'h00000015,32'h00001bf9);
test.u_cmp(32'h000000aa,32'h00000005,32'h00000352);
test.u_cmp(32'h00000055,32'h00000005,32'h000001a9);
test.u_cmp(32'h000002d6,32'h00000069,32'h000129c6);
test.u_cmp(32'h7ffffe95,32'h00000069,32'h7fff6b1d);
test.u_cmp(32'h0000034a,32'h0000001a,32'h00005584);
test.u_cmp(32'h000001a5,32'h0000001a,32'h00002ac2);
test.u_cmp(32'h0000022e,32'h0000006e,32'h0000efc4);
test.u_cmp(32'h00000117,32'h0000006e,32'h000077e2);
test.u_cmp(32'h00000374,32'h00000035,32'h0000b704);
test.u_cmp(32'h7ffffe46,32'h00000035,32'h7fffa47e);
test.u_cmp(32'h0000065d,32'h00000032,32'h00013e2a);
test.u_cmp(32'h7ffffcd1,32'h00000032,32'hffff60d2);
test.u_cmp(32'h00000197,32'h0000000c,32'h00001314);
test.u_cmp(32'h7fffff34,32'h0000000c,32'hfffff670);
test.u_cmp(32'h00000065,32'h0000002d,32'h000011c1);
test.u_cmp(32'h00000032,32'h0000002d,32'h000008ca);
test.u_cmp(32'h00000699,32'h00000034,32'h00015714);
test.u_cmp(32'h7ffffcb3,32'h00000034,32'hffff545c);
test.u_cmp(32'h0000035a,32'h0000004b,32'h0000fb5e);
test.u_cmp(32'h7ffffe53,32'h0000004b,32'h7fff8251);
test.u_cmp(32'h000005a9,32'h0000002d,32'h0000feb5);
test.u_cmp(32'h000002d4,32'h0000002d,32'h00007f44);
test.u_cmp(32'h00000396,32'h0000004d,32'h0001141e);
test.u_cmp(32'h7ffffe35,32'h0000004d,32'h7fff75f1);
test.u_cmp(32'h0000059a,32'h0000002c,32'h0000f678);
test.u_cmp(32'h7ffffd33,32'h0000002c,32'hffff84c4);
test.u_cmp(32'h0000039a,32'h0000004d,32'h00011552);
test.u_cmp(32'h7ffffe33,32'h0000004d,32'h7fff7557);
test.u_cmp(32'h00000599,32'h0000002c,32'h0000f64c);
test.u_cmp(32'h7ffffd33,32'h0000002c,32'hffff84c4);
test.u_cmp(32'h00000166,32'h00000025,32'h000033be);
test.u_cmp(32'h000000b3,32'h00000025,32'h000019df);
test.u_cmp(32'h00000427,32'h0000005e,32'h00018652);
test.u_cmp(32'h00000213,32'h0000005e,32'h0000c2fa);
test.u_cmp(32'h0000000a,32'h0000007f,32'h000004f6);
test.u_cmp(32'h00000005,32'h0000007f,32'h0000027b);
test.u_cmp(32'h000003fd,32'h00000031,32'h0000c36d);
test.u_cmp(32'h7ffffe01,32'h00000031,32'h7fff9e31);
test.u_cmp(32'h00000481,32'h00000075,32'h00020ef5);
test.u_cmp(32'h00000240,32'h00000075,32'h00010740);
test.u_cmp(32'h0000045f,32'h0000000e,32'h00003d32);
test.u_cmp(32'h7ffffdd0,32'h0000000e,32'hffffe160);
test.u_cmp(32'h00000569,32'h0000007c,32'h00029edc);
test.u_cmp(32'h000002b4,32'h0000007c,32'h00014f30);
test.u_cmp(32'h00000425,32'h00000021,32'h000088c5);
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
test.u_cmp(32'h000003f7,32'h00000050,32'h00013d30);
test.u_cmp(32'h7ffffe04,32'h00000050,32'hffff6140);
test.u_cmp(32'h00000582,32'h00000004,32'h00001608);
test.u_cmp(32'h000002c1,32'h00000004,32'h00000b04);
test.u_cmp(32'h00000520,32'h00000079,32'h00026c20);
test.u_cmp(32'h7ffffd70,32'h00000079,32'h7ffec9f0);
test.u_cmp(32'h00000438,32'h0000000f,32'h00003f48);
test.u_cmp(32'h7ffffde4,32'h0000000f,32'h7fffe05c);
test.u_cmp(32'h00000572,32'h0000007c,32'h0002a338);
test.u_cmp(32'h7ffffd47,32'h0000007c,32'hfffeae64);
test.u_cmp(32'h00000423,32'h0000000f,32'h00003e0d);
test.u_cmp(32'h00000211,32'h0000000f,32'h00001eff);
test.u_cmp(32'h00000578,32'h00000054,32'h0001cb60);
test.u_cmp(32'h7ffffd44,32'h00000054,32'hffff1a50);
test.u_cmp(32'h0000005e,32'h0000007d,32'h00002de6);
test.u_cmp(32'h7fffffd1,32'h0000007d,32'h7fffe90d);
test.u_cmp(32'h00000118,32'h00000077,32'h00008228);
test.u_cmp(32'h7fffff74,32'h00000077,32'h7fffbeec);
test.u_cmp(32'h00000146,32'h00000075,32'h000094fe);
test.u_cmp(32'h000000a3,32'h00000075,32'h00004a7f);
test.u_cmp(32'h000003ae,32'h00000033,32'h0000bbaa);
test.u_cmp(32'h000001d7,32'h00000033,32'h00005dd5);
test.u_cmp(32'h00000495,32'h00000075,32'h00021819);
test.u_cmp(32'h7ffffdb5,32'h00000075,32'h7ffef3b9);
test.u_cmp(32'h0000045a,32'h00000022,32'h000093f4);
test.u_cmp(32'h7ffffdd3,32'h00000022,32'hffffb606);
test.u_cmp(32'h00000116,32'h00000008,32'h000008b0);
test.u_cmp(32'h7fffff75,32'h00000008,32'hfffffba8);
test.u_cmp(32'h00000045,32'h00000002,32'h0000008a);
test.u_cmp(32'h00000022,32'h00000002,32'h00000044);
test.u_cmp(32'h000002ef,32'h00000068,32'h00013118);
test.u_cmp(32'h00000177,32'h00000068,32'h00009858);
test.u_cmp(32'h000001bc,32'h0000005e,32'h0000a308);
test.u_cmp(32'h7fffff22,32'h0000005e,32'hffffae7c);
test.u_cmp(32'h000007ef,32'h00000070,32'h00037890);
test.u_cmp(32'h000003f7,32'h00000070,32'h0001bc10);
test.u_cmp(32'h00000484,32'h00000024,32'h0000a290);
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
test.u_cmp(32'h00000121,32'h00000009,32'h00000a29);
test.u_cmp(32'h00000090,32'h00000009,32'h00000510);
test.u_cmp(32'h000002b8,32'h00000046,32'h0000be50);
test.u_cmp(32'h7ffffea4,32'h00000046,32'hffffa0d8);
test.u_cmp(32'h000005d2,32'h00000002,32'h00000ba4);
test.u_cmp(32'h7ffffd17,32'h00000002,32'hfffffa2e);
test.u_cmp(32'h000007f4,32'h0000003f,32'h0001f50c);
test.u_cmp(32'h7ffffc06,32'h0000003f,32'h7fff057a);
test.u_cmp(32'h00000303,32'h00000049,32'h0000dbdb);
test.u_cmp(32'h00000181,32'h00000049,32'h00006dc9);
test.u_cmp(32'h000005bf,32'h00000003,32'h0000113d);
test.u_cmp(32'h7ffffd20,32'h00000003,32'h7ffff760);
test.u_cmp(32'h00000511,32'h00000057,32'h0001b8c7);
test.u_cmp(32'h7ffffd77,32'h00000057,32'h7fff2371);
test.u_cmp(32'h000002bb,32'h00000015,32'h00003957);
test.u_cmp(32'h7ffffea2,32'h00000015,32'h7fffe34a);
test.u_cmp(32'h000000ae,32'h0000002b,32'h00001d3a);
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
test.u_cmp(32'h00000696,32'h0000004b,32'h0001edf2);
test.u_cmp(32'h7ffffcb5,32'h0000004b,32'h7fff0907);
test.u_cmp(32'h0000025a,32'h00000012,32'h00002a54);
test.u_cmp(32'h7ffffed3,32'h00000012,32'hffffead6);
test.u_cmp(32'h00000096,32'h00000004,32'h00000258);
test.u_cmp(32'h7fffffb5,32'h00000004,32'hfffffed4);
test.u_cmp(32'h000002db,32'h00000047,32'h0000cabd);
test.u_cmp(32'h7ffffe92,32'h00000047,32'h7fff9a7e);
test.u_cmp(32'h00000737,32'h00000046,32'h0001f90a);
test.u_cmp(32'h7ffffc64,32'h00000046,32'hffff0358);
test.u_cmp(32'h00000232,32'h0000003f,32'h00008a4e);
test.u_cmp(32'h7ffffee7,32'h0000003f,32'h7fffbad9);
test.u_cmp(32'h0000060c,32'h00000020,32'h0000c180);
test.u_cmp(32'h00000306,32'h00000020,32'h000060c0);
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
test.u_cmp(32'h00000740,32'h0000003a,32'h0001a480);
test.u_cmp(32'h000003a0,32'h0000003a,32'h0000d240);
test.u_cmp(32'h00000330,32'h00000066,32'h00014520);
test.u_cmp(32'h00000198,32'h00000066,32'h0000a290);
test.u_cmp(32'h000001cc,32'h0000005f,32'h0000aab4);
test.u_cmp(32'h000000e6,32'h0000005f,32'h0000555a);
test.u_cmp(32'h0000050d,32'h00000028,32'h0000ca08);
test.u_cmp(32'h00000286,32'h00000028,32'h000064f0);
test.u_cmp(32'h000003bd,32'h0000004e,32'h00012396);
test.u_cmp(32'h7ffffe21,32'h0000004e,32'hffff6e0e);
test.u_cmp(32'h00000590,32'h00000004,32'h00001640);
test.u_cmp(32'h7ffffd38,32'h00000004,32'hfffff4e0);
test.u_cmp(32'h0000051c,32'h00000057,32'h0001bc84);
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
test.u_cmp(32'h000002b9,32'h00000015,32'h0000392d);
test.u_cmp(32'h7ffffea3,32'h00000015,32'h7fffe35f);
test.u_cmp(32'h00000252,32'h00000043,32'h00009b76);
test.u_cmp(32'h7ffffed7,32'h00000043,32'h7fffb245);
test.u_cmp(32'h00000715,32'h00000047,32'h0001f6d3);
test.u_cmp(32'h7ffffc75,32'h00000047,32'h7fff0473);
test.u_cmp(32'h000000c6,32'h00000057,32'h0000434a);
test.u_cmp(32'h00000063,32'h00000057,32'h000021a5);
test.u_cmp(32'h000007b2,32'h0000006e,32'h00034e7c);
test.u_cmp(32'h7ffffc27,32'h0000006e,32'hfffe58c2);
test.u_cmp(32'h0000066d,32'h00000064,32'h00028294);
test.u_cmp(32'h00000336,32'h00000064,32'h00014118);
test.u_cmp(32'h0000061c,32'h0000004f,32'h0001e2a4);
test.u_cmp(32'h7ffffcf2,32'h0000004f,32'h7fff0eae);
test.u_cmp(32'h00000279,32'h0000003d,32'h000096d5);
test.u_cmp(32'h7ffffec3,32'h0000003d,32'h7fffb477);
test.u_cmp(32'h0000061e,32'h00000030,32'h000125a0);
test.u_cmp(32'h7ffffcf1,32'h00000030,32'hffff6d30);
test.u_cmp(32'h00000187,32'h0000000c,32'h00001254);
test.u_cmp(32'h000000c3,32'h0000000c,32'h00000924);
test.u_cmp(32'h00000061,32'h0000002d,32'h0000110d);
test.u_cmp(32'h00000030,32'h0000002d,32'h00000870);
test.u_cmp(32'h00000468,32'h00000074,32'h0001ff20);
test.u_cmp(32'h00000234,32'h00000074,32'h0000ff90);
test.u_cmp(32'h00000466,32'h0000000d,32'h0000392e);
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
test.u_cmp(32'h00000799,32'h0000003c,32'h0001c7dc);
test.u_cmp(32'h7ffffc33,32'h0000003c,32'hffff1bf4);
test.u_cmp(32'h0000031a,32'h00000049,32'h0000e26a);
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
test.u_cmp(32'h000005b9,32'h00000003,32'h0000112b);
test.u_cmp(32'h7ffffd23,32'h00000003,32'h7ffff769);
test.u_cmp(32'h000007ee,32'h0000003f,32'h0001f392);
test.u_cmp(32'h000003f7,32'h0000003f,32'h0000f9c9);
test.u_cmp(32'h000001fb,32'h00000021,32'h0000415b);
test.u_cmp(32'h7fffff02,32'h00000021,32'h7fffdf42);
test.u_cmp(32'h00000402,32'h00000071,32'h0001c4e2);
test.u_cmp(32'h00000201,32'h00000071,32'h0000e271);
test.u_cmp(32'h0000047f,32'h0000000d,32'h00003a73);
test.u_cmp(32'h7ffffdc0,32'h0000000d,32'h7fffe2c0);
test.u_cmp(32'h0000079f,32'h00000014,32'h0000986c);
test.u_cmp(32'h7ffffc30,32'h00000014,32'hffffb3c0);
test.u_cmp(32'h00000599,32'h0000007d,32'h0002bbb5);
test.u_cmp(32'h7ffffd33,32'h0000007d,32'h7ffea1e7);
test.u_cmp(32'h000006e7,32'h00000068,32'h0002cdd8);
test.u_cmp(32'h00000373,32'h00000068,32'h000166b8);
test.u_cmp(32'h000004c6,32'h0000000a,32'h00002fbc);
test.u_cmp(32'h00000263,32'h0000000a,32'h000017de);
test.u_cmp(32'h0000054f,32'h0000007b,32'h00028cf5);
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
test.u_cmp(32'h00000575,32'h0000007c,32'h0002a4ac);
test.u_cmp(32'h7ffffd45,32'h0000007c,32'hfffead6c);
test.u_cmp(32'h00000422,32'h0000000f,32'h00003dfe);
test.u_cmp(32'h00000211,32'h0000000f,32'h00001eff);
test.u_cmp(32'h00000788,32'h0000003c,32'h0001c3e0);
test.u_cmp(32'h000003c4,32'h0000003c,32'h0000e1f0);
test.u_cmp(32'h0000031e,32'h00000067,32'h00014112);
test.u_cmp(32'h7ffffe71,32'h00000067,32'h7fff5f77);
test.u_cmp(32'h000001c8,32'h00000071,32'h0000c948);
test.u_cmp(32'h000000e4,32'h00000071,32'h000064a4);
test.u_cmp(32'h00000172,32'h00000074,32'h0000a7a8);
test.u_cmp(32'h7fffff47,32'h00000074,32'hffffac2c);
test.u_cmp(32'h0000015d,32'h00000075,32'h00009f81);
test.u_cmp(32'h7fffff51,32'h00000075,32'h7fffb005);
test.u_cmp(32'h000003a8,32'h0000001d,32'h00006a08);
test.u_cmp(32'h000001d4,32'h0000001d,32'h00003504);
test.u_cmp(32'h00000216,32'h0000006f,32'h0000e78a);
test.u_cmp(32'h7ffffef5,32'h0000006f,32'h7fff8c3b);
test.u_cmp(32'h0000037a,32'h0000001b,32'h00005dde);
test.u_cmp(32'h7ffffe43,32'h0000001b,32'h7fffd111);
test.u_cmp(32'h000000de,32'h0000002a,32'h0000246c);
test.u_cmp(32'h7fffff91,32'h0000002a,32'hffffedca);
test.u_cmp(32'h000006b7,32'h00000035,32'h000163e3);
test.u_cmp(32'h7ffffca4,32'h00000035,32'h7fff4df4);
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
test.u_cmp(32'h00000755,32'h0000006b,32'h00031087);
test.u_cmp(32'h7ffffc55,32'h0000006b,32'h7ffe7787);
test.u_cmp(32'h000004aa,32'h00000025,32'h0000ac92);
test.u_cmp(32'h00000255,32'h00000025,32'h00005649);
test.u_cmp(32'h0000012a,32'h00000009,32'h00000a7a);
test.u_cmp(32'h00000095,32'h00000009,32'h0000053d);
test.u_cmp(32'h000002b6,32'h0000006a,32'h00011f5c);
test.u_cmp(32'h7ffffea5,32'h0000006a,32'hffff7052);
test.u_cmp(32'h000001ae,32'h0000005e,32'h00009de4);
test.u_cmp(32'h000000d7,32'h0000005e,32'h00004ef2);
test.u_cmp(32'h000007ec,32'h00000070,32'h00037740);
test.u_cmp(32'h000003f6,32'h00000070,32'h0001bba0);
test.u_cmp(32'h00000485,32'h00000024,32'h0000a2b4);
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
test.u_cmp(32'h000003df,32'h0000004f,32'h000131d1);
test.u_cmp(32'h7ffffe10,32'h0000004f,32'h7fff66f0);
test.u_cmp(32'h00000588,32'h00000004,32'h00001620);
test.u_cmp(32'h000002c4,32'h00000004,32'h00000b10);
test.u_cmp(32'h000007e2,32'h00000011,32'h00008602);
test.u_cmp(32'h000003f1,32'h00000011,32'h00004301);
test.u_cmp(32'h00000588,32'h00000053,32'h0001cb18);
test.u_cmp(32'h000002c4,32'h00000053,32'h0000e58c);
test.u_cmp(32'h00000062,32'h00000054,32'h00002028);
test.u_cmp(32'h00000031,32'h00000054,32'h00001014);
test.u_cmp(32'h00000567,32'h0000002b,32'h0000e84d);
test.u_cmp(32'h000002b3,32'h0000002b,32'h00007411);
test.u_cmp(32'h000003a7,32'h0000004e,32'h00011ce2);
test.u_cmp(32'h000001d3,32'h0000004e,32'h00008e4a);
test.u_cmp(32'h00000596,32'h0000002c,32'h0000f5c8);
test.u_cmp(32'h7ffffd35,32'h0000002c,32'hffff851c);
test.u_cmp(32'h0000039b,32'h0000004d,32'h0001159f);
test.u_cmp(32'h7ffffe32,32'h0000004d,32'h7fff750a);
test.u_cmp(32'h00000767,32'h00000044,32'h0001f75c);
test.u_cmp(32'h000003b3,32'h00000044,32'h0000fb8c);
test.u_cmp(32'h00000226,32'h0000003f,32'h0000875a);
test.u_cmp(32'h00000113,32'h0000003f,32'h000043ad);
test.u_cmp(32'h000004f7,32'h00000078,32'h000253c8);
test.u_cmp(32'h7ffffd84,32'h00000078,32'hfffed5e0);
test.u_cmp(32'h000006be,32'h00000066,32'h0002afb4);
test.u_cmp(32'h7ffffca1,32'h00000066,32'hfffea826);
test.u_cmp(32'h000004d0,32'h00000026,32'h0000b6e0);
test.u_cmp(32'h7ffffd98,32'h00000026,32'hffffa490);
test.u_cmp(32'h00000134,32'h00000009,32'h00000ad4);
test.u_cmp(32'h7fffff66,32'h00000009,32'h7ffffa96);
test.u_cmp(32'h000002b3,32'h0000006a,32'h00011e1e);
test.u_cmp(32'h7ffffea6,32'h0000006a,32'hffff70bc);
test.u_cmp(32'h000001ad,32'h00000072,32'h0000bf0a);
test.u_cmp(32'h000000d6,32'h00000072,32'h00005f4c);
test.u_cmp(32'h00000394,32'h0000001c,32'h00006430);
test.u_cmp(32'h7ffffe36,32'h0000001c,32'hffffcde8);
test.u_cmp(32'h0000021b,32'h0000006f,32'h0000e9b5);
test.u_cmp(32'h7ffffef2,32'h0000006f,32'h7fff8aee);
test.u_cmp(32'h00000379,32'h00000035,32'h0000b80d);
test.u_cmp(32'h7ffffe43,32'h00000035,32'h7fffa3df);
test.u_cmp(32'h000004a2,32'h00000076,32'h000222ac);
test.u_cmp(32'h00000251,32'h00000076,32'h00011156);
test.u_cmp(32'h00000457,32'h0000000e,32'h00003cc2);
test.u_cmp(32'h7ffffdd4,32'h0000000e,32'hffffe198);
test.u_cmp(32'h0000056b,32'h0000007c,32'h00029fd4);
test.u_cmp(32'h000002b5,32'h0000007c,32'h00014fac);
test.u_cmp(32'h00000425,32'h00000021,32'h000088c5);
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
test.u_cmp(32'h00000109,32'h00000008,32'h00000848);
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
test.u_cmp(32'h00000042,32'h0000002e,32'h00000bdc);
test.u_cmp(32'h00000021,32'h0000002e,32'h000005ee);
test.u_cmp(32'h00000470,32'h0000005c,32'h00019840);
test.u_cmp(32'h00000238,32'h0000005c,32'h0000cc20);
test.u_cmp(32'h0000001c,32'h00000051,32'h000008dc);
test.u_cmp(32'h7ffffff2,32'h00000051,32'h7ffffb92);
test.u_cmp(32'h00000787,32'h00000043,32'h0001f855);
test.u_cmp(32'h000003c3,32'h00000043,32'h0000fc09);
test.u_cmp(32'h0000021e,32'h00000040,32'h00008780);
test.u_cmp(32'h7ffffef1,32'h00000040,32'hffffbc40);
test.u_cmp(32'h000004f9,32'h00000078,32'h000254b8);
test.u_cmp(32'h7ffffd83,32'h00000078,32'hfffed568);
test.u_cmp(32'h00000441,32'h0000000e,32'h00003b8e);
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
test.u_cmp(32'h00000790,32'h00000014,32'h00009740);
test.u_cmp(32'h7ffffc38,32'h00000014,32'hffffb460);
test.u_cmp(32'h0000059c,32'h00000053,32'h0001d194);
test.u_cmp(32'h7ffffd32,32'h00000053,32'h7fff1736);
test.u_cmp(32'h00000299,32'h0000003c,32'h00009bdc);
test.u_cmp(32'h7ffffeb3,32'h0000003c,32'hffffb1f4);
test.u_cmp(32'h000004da,32'h00000077,32'h00024156);
test.u_cmp(32'h7ffffd93,32'h00000077,32'h7ffedf55);
test.u_cmp(32'h00000449,32'h00000022,32'h000091b2);
test.u_cmp(32'h00000224,32'h00000022,32'h000048c8);
test.u_cmp(32'h00000112,32'h00000008,32'h00000890);
test.u_cmp(32'h7fffff77,32'h00000008,32'hfffffbb8);
test.u_cmp(32'h00000044,32'h0000002e,32'h00000c38);
test.u_cmp(32'h00000022,32'h0000002e,32'h0000061c);
test.u_cmp(32'h0000046f,32'h00000074,32'h0002024c);
test.u_cmp(32'h00000237,32'h00000074,32'h000100ec);
test.u_cmp(32'h00000464,32'h0000000d,32'h00003914);
test.u_cmp(32'h00000232,32'h0000000d,32'h00001c8a);
test.u_cmp(32'h00000799,32'h00000014,32'h000097f4);
test.u_cmp(32'h7ffffc33,32'h00000014,32'hffffb3fc);
test.u_cmp(32'h0000059a,32'h0000007d,32'h0002bc32);
test.u_cmp(32'h7ffffd33,32'h0000007d,32'h7ffea1e7);
test.u_cmp(32'h000006e7,32'h00000068,32'h0002cdd8);
test.u_cmp(32'h00000373,32'h00000068,32'h000166b8);
test.u_cmp(32'h0000063a,32'h0000004e,32'h0001e5ac);
test.u_cmp(32'h7ffffce3,32'h0000004e,32'hffff0d2a);
test.u_cmp(32'h00000271,32'h00000013,32'h00002e63);
test.u_cmp(32'h7ffffec7,32'h00000013,32'h7fffe8c5);
test.u_cmp(32'h00000264,32'h0000006c,32'h00010230);
test.u_cmp(32'h00000132,32'h0000006c,32'h00008118);
test.u_cmp(32'h00000367,32'h0000001b,32'h00005bdd);
test.u_cmp(32'h000001b3,32'h0000001b,32'h00002de1);
test.u_cmp(32'h00000227,32'h00000042,32'h00008e0e);
test.u_cmp(32'h00000113,32'h00000042,32'h000046e6);
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
test.u_cmp(32'h0000023d,32'h00000011,32'h0000260d);
test.u_cmp(32'h7ffffee1,32'h00000011,32'h7fffecf1);
test.u_cmp(32'h0000008f,32'h0000002c,32'h00001894);
test.u_cmp(32'h00000047,32'h0000002c,32'h00000c34);
test.u_cmp(32'h0000045d,32'h00000073,32'h0001f5c7);
test.u_cmp(32'h7ffffdd1,32'h00000073,32'h7fff04e3);
test.u_cmp(32'h00000468,32'h0000000d,32'h00003948);
test.u_cmp(32'h00000234,32'h0000000d,32'h00001ca4);
test.u_cmp(32'h00000566,32'h0000007c,32'h00029d68);
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
test.u_cmp(32'h00000426,32'h0000000f,32'h00003e3a);
test.u_cmp(32'h00000213,32'h0000000f,32'h00001f1d);
test.u_cmp(32'h00000577,32'h0000007c,32'h0002a5a4);
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
test.u_cmp(32'h000006de,32'h00000049,32'h0001f54e);
test.u_cmp(32'h7ffffc91,32'h00000049,32'h7fff0559);
test.u_cmp(32'h000000b8,32'h0000007a,32'h000057b0);
test.u_cmp(32'h7fffffa4,32'h0000007a,32'hffffd428);
test.u_cmp(32'h000003d2,32'h0000001e,32'h0000729c);
test.u_cmp(32'h7ffffe17,32'h0000001e,32'hffffc6b2);
test.u_cmp(32'h0000020c,32'h0000006f,32'h0000e334);
test.u_cmp(32'h00000106,32'h0000006f,32'h0000719a);
test.u_cmp(32'h0000037d,32'h00000035,32'h0000b8e1);
test.u_cmp(32'h7ffffe41,32'h00000035,32'h7fffa375);
test.u_cmp(32'h0000065f,32'h0000001e,32'h0000bf22);
test.u_cmp(32'h7ffffcd0,32'h0000001e,32'hffffa060);
test.u_cmp(32'h00000717,32'h00000038,32'h00018d08);
test.u_cmp(32'h7ffffc74,32'h00000038,32'hffff3960);
test.u_cmp(32'h000001c5,32'h0000000e,32'h000018c6);
test.u_cmp(32'h000000e2,32'h0000000e,32'h00000c5c);
test.u_cmp(32'h0000028f,32'h00000045,32'h0000b08b);
test.u_cmp(32'h00000147,32'h00000045,32'h00005823);
test.u_cmp(32'h000005dc,32'h00000002,32'h00000bb8);
test.u_cmp(32'h7ffffd12,32'h00000002,32'hfffffa24);
test.u_cmp(32'h00000509,32'h00000079,32'h00026141);
test.u_cmp(32'h00000284,32'h00000079,32'h00013064);
test.u_cmp(32'h0000043d,32'h00000021,32'h00008bdd);
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
test.u_cmp(32'h0000010f,32'h00000008,32'h00000878);
test.u_cmp(32'h00000087,32'h00000008,32'h00000438);
test.u_cmp(32'h000002bd,32'h00000046,32'h0000bfae);
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
test.u_cmp(32'h00000730,32'h00000046,32'h0001f720);
test.u_cmp(32'h00000398,32'h00000046,32'h0000fb90);
test.u_cmp(32'h00000234,32'h0000003f,32'h00008acc);
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
test.u_cmp(32'h0000060d,32'h00000020,32'h0000c1a0);
test.u_cmp(32'h00000306,32'h00000020,32'h000060c0);
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
test.u_cmp(32'h000005c0,32'h00000052,32'h0001d780);
test.u_cmp(32'h7ffffd20,32'h00000052,32'hffff1440);
test.u_cmp(32'h00000290,32'h00000014,32'h00003340);
test.u_cmp(32'h7ffffeb8,32'h00000014,32'hffffe660);
test.u_cmp(32'h000000a4,32'h0000002b,32'h00001b8c);
test.u_cmp(32'h00000052,32'h0000002b,32'h00000dc6);
test.u_cmp(32'h000006a9,32'h0000001b,32'h0000b3d3);
test.u_cmp(32'h00000354,32'h0000001b,32'h000059dc);
test.u_cmp(32'h000005d6,32'h00000051,32'h0001d8b6);
test.u_cmp(32'h7ffffd15,32'h00000051,32'h7fff13a5);
test.u_cmp(32'h00000076,32'h0000007c,32'h00003928);
test.u_cmp(32'h7fffffc5,32'h0000007c,32'hffffe36c);
test.u_cmp(32'h0000011e,32'h00000059,32'h0000636e);
test.u_cmp(32'h7fffff71,32'h00000059,32'h7fffce49);
test.u_cmp(32'h000007c8,32'h0000006f,32'h00035fb8);
test.u_cmp(32'h000003e4,32'h0000006f,32'h0001afdc);
test.u_cmp(32'h00000672,32'h0000004c,32'h0001e9d8);
test.u_cmp(32'h7ffffcc7,32'h0000004c,32'hffff0b14);
test.u_cmp(32'h00000263,32'h0000003d,32'h00009197);
test.u_cmp(32'h00000131,32'h0000003d,32'h000048ad);
test.u_cmp(32'h00000618,32'h00000030,32'h00012480);
test.u_cmp(32'h7ffffcf4,32'h00000030,32'hffff6dc0);
test.u_cmp(32'h00000186,32'h0000000c,32'h00001248);
test.u_cmp(32'h000000c3,32'h0000000c,32'h00000924);
test.u_cmp(32'h00000061,32'h00000003,32'h00000123);
test.u_cmp(32'h00000030,32'h00000003,32'h00000090);
test.u_cmp(32'h00000018,32'h00000030,32'h00000480);
test.u_cmp(32'h7ffffff4,32'h00000030,32'hfffffdc0);
test.u_cmp(32'h0000047a,32'h00000074,32'h00020748);
test.u_cmp(32'h7ffffdc3,32'h00000074,32'hfffefc5c);
test.u_cmp(32'h00000461,32'h00000023,32'h00009943);
test.u_cmp(32'h00000230,32'h00000023,32'h00004c90);
test.u_cmp(32'h000003e8,32'h00000050,32'h00013880);
test.u_cmp(32'h000001f4,32'h00000050,32'h00009c40);
test.u_cmp(32'h00000586,32'h0000002c,32'h0000f308);
test.u_cmp(32'h000002c3,32'h0000002c,32'h00007984);
test.u_cmp(32'h00000161,32'h0000000b,32'h00000f2b);
test.u_cmp(32'h000000b0,32'h0000000b,32'h00000790);
test.u_cmp(32'h000002a8,32'h0000006a,32'h00011990);
test.u_cmp(32'h00000154,32'h0000006a,32'h00008cc8);
test.u_cmp(32'h000001aa,32'h0000005e,32'h00009c6c);
test.u_cmp(32'h000000d5,32'h0000005e,32'h00004e36);
test.u_cmp(32'h000007eb,32'h00000070,32'h000376d0);
test.u_cmp(32'h000003f5,32'h00000070,32'h0001bb30);
test.u_cmp(32'h00000485,32'h0000000c,32'h0000363c);
test.u_cmp(32'h00000242,32'h0000000c,32'h00001b18);
test.u_cmp(32'h0000055f,32'h0000007b,32'h000294a5);
test.u_cmp(32'h7ffffd50,32'h0000007b,32'h7ffeb570);
test.u_cmp(32'h000006d8,32'h00000067,32'h0002c0e8);
test.u_cmp(32'h7ffffc94,32'h00000067,32'h7ffe9f8c);
test.u_cmp(32'h000004ca,32'h0000000a,32'h00002fe4);
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
test.u_cmp(32'h000006d4,32'h00000067,32'h0002bf4c);
test.u_cmp(32'h7ffffc96,32'h00000067,32'h7ffea05a);
test.u_cmp(32'h000004cb,32'h0000000a,32'h00002fee);
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
test.u_cmp(32'h000006d4,32'h00000067,32'h0002bf4c);
test.u_cmp(32'h7ffffc96,32'h00000067,32'h7ffea05a);
test.u_cmp(32'h000004cb,32'h0000000a,32'h00002fee);
test.u_cmp(32'h00000265,32'h0000000a,32'h000017f2);
test.u_cmp(32'h0000054e,32'h00000055,32'h0001c2e6);
test.u_cmp(32'h000002a7,32'h00000055,32'h0000e173);
test.u_cmp(32'h000002ac,32'h0000003b,32'h00009da4);
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
test.u_cmp(32'h000004d5,32'h00000077,32'h00023f03);
test.u_cmp(32'h7ffffd95,32'h00000077,32'h7ffee043);
test.u_cmp(32'h000006b6,32'h00000066,32'h0002ac84);
test.u_cmp(32'h7ffffca5,32'h00000066,32'hfffea9be);
test.u_cmp(32'h000004d2,32'h00000026,32'h0000b72c);
test.u_cmp(32'h7ffffd97,32'h00000026,32'hffffa46a);
test.u_cmp(32'h000003cc,32'h0000004f,32'h00012bf4);
test.u_cmp(32'h000001e6,32'h0000004f,32'h000095fa);
test.u_cmp(32'h00000773,32'h00000044,32'h0001fa8c);
test.u_cmp(32'h7ffffc46,32'h00000044,32'hffff0298);
test.u_cmp(32'h000000dd,32'h00000057,32'h00004b1b);
test.u_cmp(32'h7fffff91,32'h00000057,32'h7fffda47);
test.u_cmp(32'h00000548,32'h0000002a,32'h0000ddd0);
test.u_cmp(32'h000002a4,32'h0000002a,32'h00006ee8);
test.u_cmp(32'h00000152,32'h00000026,32'h0000322c);
test.u_cmp(32'h7fffff57,32'h00000026,32'hffffe6ea);
test.u_cmp(32'h000006d4,32'h00000036,32'h000170b8);
test.u_cmp(32'h7ffffc96,32'h00000036,32'hffff47a4);
test.u_cmp(32'h0000034b,32'h0000004b,32'h0000f6f9);
test.u_cmp(32'h000001a5,32'h0000004b,32'h00007b57);
test.u_cmp(32'h00000753,32'h00000045,32'h0001f95f);
test.u_cmp(32'h7ffffc56,32'h00000045,32'h7fff032e);
test.u_cmp(32'h000000d5,32'h00000057,32'h00004863);
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
test.u_cmp(32'h0000054a,32'h0000002a,32'h0000de24);
test.u_cmp(32'h000002a5,32'h0000002a,32'h00006f12);
test.u_cmp(32'h000003ae,32'h0000004e,32'h00011f04);
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
test.u_cmp(32'h00000225,32'h0000003f,32'h0000871b);
test.u_cmp(32'h00000112,32'h0000003f,32'h0000436e);
test.u_cmp(32'h00000609,32'h00000030,32'h000121b0);
test.u_cmp(32'h00000304,32'h00000030,32'h000090c0);
test.u_cmp(32'h00000182,32'h0000000c,32'h00001218);
test.u_cmp(32'h000000c1,32'h0000000c,32'h0000090c);
test.u_cmp(32'h000002a0,32'h00000045,32'h0000b520);
test.u_cmp(32'h7ffffeb0,32'h00000045,32'h7fffa570);
test.u_cmp(32'h00000728,32'h00000046,32'h0001f4f0);
test.u_cmp(32'h00000394,32'h00000046,32'h0000fa78);
test.u_cmp(32'h00000236,32'h0000003f,32'h00008b4a);
test.u_cmp(32'h7ffffee5,32'h0000003f,32'h7fffba5b);
test.u_cmp(32'h000004f3,32'h00000058,32'h0001b388);
test.u_cmp(32'h7ffffd86,32'h00000058,32'hffff2610);
test.u_cmp(32'h0000003d,32'h00000052,32'h0000138a);
test.u_cmp(32'h7fffffe1,32'h00000052,32'hfffff612);
test.u_cmp(32'h00000570,32'h0000002b,32'h0000e9d0);
test.u_cmp(32'h7ffffd48,32'h0000002b,32'h7fff8b18);
test.u_cmp(32'h000003a4,32'h0000004e,32'h00011bf8);
test.u_cmp(32'h000001d2,32'h0000004e,32'h00008dfc);
test.u_cmp(32'h00000769,32'h00000044,32'h0001f7e4);
test.u_cmp(32'h000003b4,32'h00000044,32'h0000fbd0);
test.u_cmp(32'h00000225,32'h0000003f,32'h0000871b);
test.u_cmp(32'h00000112,32'h0000003f,32'h0000436e);
test.u_cmp(32'h000004f7,32'h00000078,32'h000253c8);
test.u_cmp(32'h7ffffd84,32'h00000078,32'hfffed5e0);
test.u_cmp(32'h00000442,32'h00000022,32'h000090c4);
test.u_cmp(32'h00000221,32'h00000022,32'h00004862);
test.u_cmp(32'h00000110,32'h00000008,32'h00000880);
test.u_cmp(32'h7fffff78,32'h00000008,32'hfffffbc0);
test.u_cmp(32'h00000044,32'h00000002,32'h00000088);
test.u_cmp(32'h00000022,32'h00000002,32'h00000044);
test.u_cmp(32'h000002ef,32'h00000068,32'h00013118);
test.u_cmp(32'h00000177,32'h00000068,32'h00009858);
test.u_cmp(32'h00000344,32'h00000036,32'h0000b058);
test.u_cmp(32'h000001a2,32'h00000036,32'h0000582c);
test.u_cmp(32'h00000651,32'h00000032,32'h00013bd2);
test.u_cmp(32'h7ffffcd7,32'h00000032,32'hffff61fe);
test.u_cmp(32'h00000194,32'h0000000c,32'h000012f0);
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
test.u_cmp(32'h00000065,32'h0000002d,32'h000011c1);
test.u_cmp(32'h00000032,32'h0000002d,32'h000008ca);
test.u_cmp(32'h00000699,32'h0000001c,32'h0000b8bc);
test.u_cmp(32'h7ffffcb3,32'h0000001c,32'hffffa394);
test.u_cmp(32'h000005da,32'h00000051,32'h0001d9fa);
test.u_cmp(32'h7ffffd13,32'h00000051,32'h7fff1303);
test.u_cmp(32'h00000289,32'h00000014,32'h000032b4);
test.u_cmp(32'h00000144,32'h00000014,32'h00001950);
test.u_cmp(32'h0000025e,32'h00000043,32'h00009e9a);
test.u_cmp(32'h7ffffed1,32'h00000043,32'h7fffb0b3);
test.u_cmp(32'h00000718,32'h00000069,32'h0002e8d8);
test.u_cmp(32'h7ffffc74,32'h00000069,32'h7ffe8b94);
test.u_cmp(32'h00000646,32'h0000004d,32'h0001e30e);
test.u_cmp(32'h00000323,32'h0000004d,32'h0000f187);
test.u_cmp(32'h0000026e,32'h00000013,32'h00002e2a);
test.u_cmp(32'h00000137,32'h00000013,32'h00001715);
test.u_cmp(32'h0000009b,32'h0000002c,32'h00001aa4);
test.u_cmp(32'h7fffffb2,32'h0000002c,32'hfffff298);
test.u_cmp(32'h0000045a,32'h00000073,32'h0001f46e);
test.u_cmp(32'h7ffffdd3,32'h00000073,32'h7fff05c9);
test.u_cmp(32'h00000697,32'h0000004b,32'h0001ee3d);
test.u_cmp(32'h7ffffcb4,32'h0000004b,32'h7fff08bc);
test.u_cmp(32'h0000025a,32'h0000003e,32'h000091cc);
test.u_cmp(32'h7ffffed3,32'h0000003e,32'hffffb71a);
test.u_cmp(32'h000004ea,32'h00000078,32'h00024db0);
test.u_cmp(32'h00000275,32'h00000078,32'h000126d8);
test.u_cmp(32'h00000445,32'h0000000e,32'h00003bc6);
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
test.u_cmp(32'h0000056f,32'h0000007c,32'h0002a1c4);
test.u_cmp(32'h000002b7,32'h0000007c,32'h000150a4);
test.u_cmp(32'h00000424,32'h00000021,32'h000088a4);
test.u_cmp(32'h00000212,32'h00000021,32'h00004452);
test.u_cmp(32'h00000109,32'h00000008,32'h00000848);
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
test.u_cmp(32'h000002be,32'h00000046,32'h0000bff4);
test.u_cmp(32'h7ffffea1,32'h00000046,32'hffffa006);
test.u_cmp(32'h000005d0,32'h00000002,32'h00000ba0);
test.u_cmp(32'h7ffffd18,32'h00000002,32'hfffffa30);
test.u_cmp(32'h000007f4,32'h0000003f,32'h0001f50c);
test.u_cmp(32'h7ffffc06,32'h0000003f,32'h7fff057a);
test.u_cmp(32'h00000303,32'h00000067,32'h00013635);
test.u_cmp(32'h00000181,32'h00000067,32'h00009ae7);
test.u_cmp(32'h0000033f,32'h00000019,32'h00005127);
test.u_cmp(32'h7ffffe60,32'h00000019,32'h7fffd760);
test.u_cmp(32'h00000231,32'h00000042,32'h000090a2);
test.u_cmp(32'h7ffffee7,32'h00000042,32'hffffb78e);
test.u_cmp(32'h000005f3,32'h00000001,32'h000005f3);
test.u_cmp(32'h7ffffd06,32'h00000001,32'h7ffffd06);
test.u_cmp(32'h00000504,32'h00000057,32'h0001b45c);
test.u_cmp(32'h00000282,32'h00000057,32'h0000da2e);
test.u_cmp(32'h000002bf,32'h00000015,32'h000039ab);
test.u_cmp(32'h7ffffea0,32'h00000015,32'h7fffe320);
test.u_cmp(32'h000000af,32'h0000002b,32'h00001d65);
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
test.u_cmp(32'h0000046a,32'h00000023,32'h00009a7e);
test.u_cmp(32'h00000235,32'h00000023,32'h00004d3f);
test.u_cmp(32'h0000011a,32'h00000008,32'h000008d0);
test.u_cmp(32'h7fffff73,32'h00000008,32'hfffffb98);
test.u_cmp(32'h00000046,32'h0000002e,32'h00000c94);
test.u_cmp(32'h00000023,32'h0000002e,32'h0000064a);
test.u_cmp(32'h0000046f,32'h0000005c,32'h000197e4);
test.u_cmp(32'h00000237,32'h0000005c,32'h0000cbc4);
test.u_cmp(32'h0000001c,32'h0000007f,32'h00000de4);
test.u_cmp(32'h7ffffff2,32'h0000007f,32'h7ffff90e);
test.u_cmp(32'h00000107,32'h00000077,32'h00007a41);
test.u_cmp(32'h00000083,32'h00000077,32'h00003ce5);
test.u_cmp(32'h00000142,32'h0000005b,32'h00007276);
test.u_cmp(32'h000000a1,32'h0000005b,32'h0000393b);
test.u_cmp(32'h000007d1,32'h00000041,32'h0001fc11);
test.u_cmp(32'h7ffffc17,32'h00000041,32'h7fff01d7);
test.u_cmp(32'h000000f5,32'h00000078,32'h000072d8);
test.u_cmp(32'h7fffff85,32'h00000078,32'hffffc658);
test.u_cmp(32'h0000013e,32'h00000076,32'h00009294);
test.u_cmp(32'h7fffff61,32'h00000076,32'hffffb6b6);
test.u_cmp(32'h00000150,32'h0000005b,32'h00007770);
test.u_cmp(32'h000000a8,32'h0000005b,32'h00003bb8);
test.u_cmp(32'h0000052c,32'h00000029,32'h0000d40c);
test.u_cmp(32'h00000296,32'h00000029,32'h00006a06);
test.u_cmp(32'h000003b5,32'h0000004e,32'h00012126);
test.u_cmp(32'h7ffffe25,32'h0000004e,32'hffff6f46);
test.u_cmp(32'h00000592,32'h00000004,32'h00001648);
test.u_cmp(32'h7ffffd37,32'h00000004,32'hfffff4dc);
test.u_cmp(32'h0000051c,32'h00000079,32'h00026a3c);
test.u_cmp(32'h7ffffd72,32'h00000079,32'h7ffecae2);
test.u_cmp(32'h000006c7,32'h00000049,32'h0001eebf);
test.u_cmp(32'h00000363,32'h00000049,32'h0000f73b);
test.u_cmp(32'h0000024e,32'h00000012,32'h0000297c);
test.u_cmp(32'h00000127,32'h00000012,32'h000014be);
test.u_cmp(32'h0000026d,32'h0000006c,32'h000105fc);
test.u_cmp(32'h00000136,32'h0000006c,32'h000082c8);
test.u_cmp(32'h0000019c,32'h00000073,32'h0000b914);
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
test.u_cmp(32'h00000167,32'h0000005c,32'h00008104);
test.u_cmp(32'h000000b3,32'h0000005c,32'h00004054);
test.u_cmp(32'h00000526,32'h00000029,32'h0000d316);
test.u_cmp(32'h00000293,32'h00000029,32'h0000698b);
test.u_cmp(32'h00000149,32'h0000000a,32'h00000cda);
test.u_cmp(32'h000000a4,32'h0000000a,32'h00000668);
test.u_cmp(32'h00000052,32'h0000002e,32'h00000ebc);
test.u_cmp(32'h7fffffd7,32'h0000002e,32'hfffff8a2);
test.u_cmp(32'h00000694,32'h00000034,32'h00015610);
test.u_cmp(32'h7ffffcb6,32'h00000034,32'hffff54f8);
test.u_cmp(32'h0000035b,32'h0000004b,32'h0000fba9);
test.u_cmp(32'h7ffffe52,32'h0000004b,32'h7fff8206);
test.u_cmp(32'h00000757,32'h00000045,32'h0001fa73);
test.u_cmp(32'h7ffffc54,32'h00000045,32'h7fff02a4);
test.u_cmp(32'h0000022a,32'h00000011,32'h000024ca);
test.u_cmp(32'h00000115,32'h00000011,32'h00001265);
test.u_cmp(32'h00000276,32'h0000006c,32'h000109c8);
test.u_cmp(32'h7ffffec5,32'h0000006c,32'hffff7b1c);
test.u_cmp(32'h0000019e,32'h0000005d,32'h00009666);
test.u_cmp(32'h7fffff31,32'h0000005d,32'h7fffb4cd);
test.u_cmp(32'h000007e8,32'h00000040,32'h0001fa00);
test.u_cmp(32'h000003f4,32'h00000040,32'h0000fd00);
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
test.u_cmp(32'h00000103,32'h00000010,32'h00001030);
test.u_cmp(32'h0000027f,32'h0000006c,32'h00010d94);
test.u_cmp(32'h7ffffec0,32'h0000006c,32'hffff7900);
test.u_cmp(32'h00000360,32'h0000001b,32'h00005b20);
test.u_cmp(32'h000001b0,32'h0000001b,32'h00002d90);
test.u_cmp(32'h00000228,32'h00000042,32'h00008e50);
test.u_cmp(32'h00000114,32'h00000042,32'h00004728);
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
test.u_cmp(32'h0000023d,32'h0000003f,32'h00008d03);
test.u_cmp(32'h7ffffee1,32'h0000003f,32'h7fffb95f);
test.u_cmp(32'h000004f1,32'h00000078,32'h000250f8);
test.u_cmp(32'h7ffffd87,32'h00000078,32'hfffed748);
test.u_cmp(32'h00000443,32'h00000022,32'h000090e6);
test.u_cmp(32'h00000221,32'h00000022,32'h00004862);
test.u_cmp(32'h000003f0,32'h00000050,32'h00013b00);
test.u_cmp(32'h000001f8,32'h00000050,32'h00009d80);
test.u_cmp(32'h00000584,32'h0000002c,32'h0000f2b0);
test.u_cmp(32'h000002c2,32'h0000002c,32'h00007958);
test.u_cmp(32'h00000161,32'h0000000b,32'h00000f2b);
test.u_cmp(32'h000000b0,32'h0000000b,32'h00000790);
test.u_cmp(32'h000002a8,32'h0000006a,32'h00011990);
test.u_cmp(32'h00000154,32'h0000006a,32'h00008cc8);
test.u_cmp(32'h00000356,32'h0000001a,32'h000056bc);
test.u_cmp(32'h7ffffe55,32'h0000001a,32'hffffd4a2);
test.u_cmp(32'h000000d5,32'h00000006,32'h000004fe);
test.u_cmp(32'h7fffff95,32'h00000006,32'hfffffd7e);
test.u_cmp(32'h00000035,32'h00000001,32'h00000035);
test.u_cmp(32'h7fffffe5,32'h00000001,32'h7fffffe5);
test.u_cmp(32'h000002f3,32'h00000068,32'h000132b8);
test.u_cmp(32'h7ffffe86,32'h00000068,32'hffff6670);
test.u_cmp(32'h00000343,32'h00000036,32'h0000b022);
test.u_cmp(32'h000001a1,32'h00000036,32'h000057f6);
test.u_cmp(32'h00000650,32'h0000001e,32'h0000bd60);
test.u_cmp(32'h7ffffcd8,32'h0000001e,32'hffffa150);
test.u_cmp(32'h00000714,32'h00000038,32'h00018c60);
test.u_cmp(32'h7ffffc76,32'h00000038,32'hffff39d0);
test.u_cmp(32'h000001c5,32'h0000000e,32'h000018c6);
test.u_cmp(32'h000000e2,32'h0000000e,32'h00000c5c);
test.u_cmp(32'h00000071,32'h0000002d,32'h000013dd);
test.u_cmp(32'h7fffffc7,32'h0000002d,32'h7ffff5fb);
test.u_cmp(32'h00000464,32'h00000074,32'h0001fd50);
test.u_cmp(32'h00000232,32'h00000074,32'h0000fea8);
test.u_cmp(32'h00000699,32'h00000065,32'h00029a5d);
test.u_cmp(32'h7ffffcb3,32'h00000065,32'h7ffeb29f);
test.u_cmp(32'h000004d9,32'h0000000a,32'h0000307a);
test.u_cmp(32'h7ffffd93,32'h0000000a,32'hffffe7be);
test.u_cmp(32'h0000054a,32'h00000055,32'h0001c192);
test.u_cmp(32'h000002a5,32'h00000055,32'h0000e0c9);
test.u_cmp(32'h000002ad,32'h0000003b,32'h00009ddf);
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
test.u_cmp(32'h000004d5,32'h00000059,32'h0001ae0d);
test.u_cmp(32'h7ffffd95,32'h00000059,32'h7fff28cd);
test.u_cmp(32'h00000036,32'h00000052,32'h0000114c);
test.u_cmp(32'h7fffffe5,32'h00000052,32'hfffff75a);
test.u_cmp(32'h0000078e,32'h0000006d,32'h00033776);
test.u_cmp(32'h000003c7,32'h0000006d,32'h00019bbb);
test.u_cmp(32'h00000664,32'h0000004c,32'h0001e5b0);
test.u_cmp(32'h00000332,32'h0000004c,32'h0000f2d8);
test.u_cmp(32'h00000267,32'h0000003d,32'h0000928b);
test.u_cmp(32'h00000133,32'h0000003d,32'h00004927);
test.u_cmp(32'h000004e7,32'h00000078,32'h00024c48);
test.u_cmp(32'h00000273,32'h00000078,32'h000125e8);
test.u_cmp(32'h000006ba,32'h0000004a,32'h0001f1c4);
test.u_cmp(32'h7ffffca3,32'h0000004a,32'hffff071e);
test.u_cmp(32'h000000af,32'h0000007a,32'h00005366);
test.u_cmp(32'h00000057,32'h0000007a,32'h00002976);
test.u_cmp(32'h000003d4,32'h0000001e,32'h000072d8);
test.u_cmp(32'h7ffffe16,32'h0000001e,32'hffffc694);
test.u_cmp(32'h0000020b,32'h0000006f,32'h0000e2c5);
test.u_cmp(32'h00000105,32'h0000006f,32'h0000712b);
test.u_cmp(32'h0000037d,32'h00000035,32'h0000b8e1);
test.u_cmp(32'h7ffffe41,32'h00000035,32'h7fffa375);
test.u_cmp(32'h0000065f,32'h00000032,32'h00013e8e);
test.u_cmp(32'h7ffffcd0,32'h00000032,32'hffff60a0);
test.u_cmp(32'h00000369,32'h0000004c,32'h0001032c);
test.u_cmp(32'h000001b4,32'h0000004c,32'h00008170);
test.u_cmp(32'h000005a5,32'h0000002d,32'h0000fe01);
test.u_cmp(32'h000002d2,32'h0000002d,32'h00007eea);
test.u_cmp(32'h00000169,32'h00000025,32'h0000342d);
test.u_cmp(32'h000000b4,32'h00000025,32'h00001a04);
test.u_cmp(32'h000006da,32'h0000001a,32'h0000b224);
test.u_cmp(32'h7ffffc93,32'h0000001a,32'hffffa6ee);
test.u_cmp(32'h000005ca,32'h0000007f,32'h0002df36);
test.u_cmp(32'h000002e5,32'h0000007f,32'h00016f9b);
test.u_cmp(32'h0000040d,32'h00000010,32'h000040d0);
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
test.u_cmp(32'h0000057d,32'h0000007c,32'h0002a88c);
test.u_cmp(32'h7ffffd41,32'h0000007c,32'hfffeab7c);
test.u_cmp(32'h000006e0,32'h00000049,32'h0001f5e0);
test.u_cmp(32'h7ffffc90,32'h00000049,32'h7fff0510);
test.u_cmp(32'h00000248,32'h0000003e,32'h00008d70);
test.u_cmp(32'h00000124,32'h0000003e,32'h000046b8);
test.u_cmp(32'h000004ee,32'h00000058,32'h0001b1d0);
test.u_cmp(32'h00000277,32'h00000058,32'h0000d8e8);
test.u_cmp(32'h0000003c,32'h0000007e,32'h00001d88);
test.u_cmp(32'h7fffffe2,32'h0000007e,32'hfffff13c);
test.u_cmp(32'h0000010f,32'h00000077,32'h00007df9);
test.u_cmp(32'h00000087,32'h00000077,32'h00003ec1);
test.u_cmp(32'h000003bc,32'h00000033,32'h0000be74);
test.u_cmp(32'h7ffffe22,32'h00000033,32'h7fffa0c6);
test.u_cmp(32'h00000491,32'h00000075,32'h00021645);
test.u_cmp(32'h7ffffdb7,32'h00000075,32'h7ffef4a3);
test.u_cmp(32'h0000045b,32'h00000022,32'h00009416);
test.u_cmp(32'h7ffffdd2,32'h00000022,32'hffffb5e4);
test.u_cmp(32'h000003ea,32'h00000060,32'h000177c0);
test.u_cmp(32'h000001f5,32'h00000060,32'h0000bbe0);
test.u_cmp(32'h00000305,32'h00000038,32'h0000a918);
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
test.u_cmp(32'h00000641,32'h00000032,32'h000138b2);
test.u_cmp(32'h00000320,32'h00000032,32'h00009c40);
test.u_cmp(32'h00000190,32'h0000000c,32'h000012c0);
test.u_cmp(32'h7fffff38,32'h0000000c,32'hfffff6a0);
test.u_cmp(32'h00000064,32'h00000003,32'h0000012c);
test.u_cmp(32'h00000032,32'h00000003,32'h00000096);
test.u_cmp(32'h000002e7,32'h00000048,32'h0000d0f8);
test.u_cmp(32'h00000173,32'h00000048,32'h00006858);
test.u_cmp(32'h0000073a,32'h00000046,32'h0001f9dc);
test.u_cmp(32'h7ffffc63,32'h00000046,32'hffff0312);
test.u_cmp(32'h00000231,32'h00000011,32'h00002541);
test.u_cmp(32'h7ffffee7,32'h00000011,32'h7fffed57);
test.u_cmp(32'h0000008c,32'h0000002c,32'h00001810);
test.u_cmp(32'h00000046,32'h0000002c,32'h00000c08);
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
test.u_cmp(32'h00000728,32'h00000039,32'h000197e8);
test.u_cmp(32'h00000394,32'h00000039,32'h0000cbf4);
test.u_cmp(32'h00000336,32'h00000066,32'h00014784);
test.u_cmp(32'h7ffffe65,32'h00000066,32'hffff5c3e);
test.u_cmp(32'h00000332,32'h00000037,32'h0000afbe);
test.u_cmp(32'h7ffffe67,32'h00000037,32'h7fffa821);
test.u_cmp(32'h000004b4,32'h00000076,32'h00022af8);
test.u_cmp(32'h7ffffda6,32'h00000076,32'hfffeea84);
test.u_cmp(32'h000006ad,32'h00000066,32'h0002a8ee);
test.u_cmp(32'h00000356,32'h00000066,32'h00015444);
test.u_cmp(32'h0000062c,32'h0000004e,32'h0001e168);
test.u_cmp(32'h00000316,32'h0000004e,32'h0000f0b4);
test.u_cmp(32'h00000275,32'h00000013,32'h00002eaf);
test.u_cmp(32'h7ffffec5,32'h00000013,32'h7fffe89f);
test.u_cmp(32'h00000263,32'h0000006c,32'h000101c4);
test.u_cmp(32'h00000131,32'h0000006c,32'h000080ac);
test.u_cmp(32'h00000367,32'h0000001b,32'h00005bdd);
test.u_cmp(32'h000001b3,32'h0000001b,32'h00002de1);
test.u_cmp(32'h000000d9,32'h0000002a,32'h0000239a);
test.u_cmp(32'h7fffff93,32'h0000002a,32'hffffee1e);
test.u_cmp(32'h000006b6,32'h00000035,32'h000163ae);
test.u_cmp(32'h7ffffca5,32'h00000035,32'h7fff4e29);
test.u_cmp(32'h000001ad,32'h0000000d,32'h000015c9);
test.u_cmp(32'h000000d6,32'h0000000d,32'h00000ade);
test.u_cmp(32'h00000295,32'h00000045,32'h0000b229);
test.u_cmp(32'h7ffffeb5,32'h00000045,32'h7fffa6c9);
test.u_cmp(32'h000005da,32'h0000002e,32'h00010d2c);
test.u_cmp(32'h7ffffd13,32'h0000002e,32'hffff796a);
test.u_cmp(32'h00000176,32'h00000025,32'h0000360e);
test.u_cmp(32'h7fffff45,32'h00000025,32'h7fffe4f9);
test.u_cmp(32'h000006dd,32'h00000036,32'h0001729e);
test.u_cmp(32'h7ffffc91,32'h00000036,32'hffff4696);
test.u_cmp(32'h000001b7,32'h00000023,32'h00003c05);
test.u_cmp(32'h7fffff24,32'h00000023,32'h7fffe1ec);
test.u_cmp(32'h00000413,32'h0000005f,32'h0001830d);
test.u_cmp(32'h7ffffdf6,32'h0000005f,32'h7fff3e4a);
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
test.u_cmp(32'h0000063e,32'h0000001f,32'h0000c182);
test.u_cmp(32'h7ffffce1,32'h0000001f,32'h7fff9f3f);
test.u_cmp(32'h000005f1,32'h00000080,32'h0002f880);
test.u_cmp(32'h7ffffd07,32'h00000080,32'hfffe8380);
test.u_cmp(32'h00000403,32'h00000010,32'h00004030);
test.u_cmp(32'h00000201,32'h00000010,32'h00002010);
test.u_cmp(32'h00000580,32'h00000054,32'h0001ce00);
test.u_cmp(32'h7ffffd40,32'h00000054,32'hffff1900);
test.u_cmp(32'h00000060,32'h00000053,32'h00001f20);
test.u_cmp(32'h7fffffd0,32'h00000053,32'h7ffff070);
test.u_cmp(32'h00000568,32'h0000002b,32'h0000e878);
test.u_cmp(32'h000002b4,32'h0000002b,32'h0000743c);
test.u_cmp(32'h000003a6,32'h00000062,32'h0001658c);
test.u_cmp(32'h000001d3,32'h00000062,32'h0000b2c6);
test.u_cmp(32'h00000316,32'h00000038,32'h0000acd0);
test.u_cmp(32'h7ffffe75,32'h00000038,32'hffffa998);
test.u_cmp(32'h00000645,32'h0000001e,32'h0000bc16);
test.u_cmp(32'h00000322,32'h0000001e,32'h00005dfc);
test.u_cmp(32'h000005ef,32'h00000050,32'h0001dab0);
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
test.u_cmp(32'h0000007c,32'h00000054,32'h000028b0);
test.u_cmp(32'h7fffffc2,32'h00000054,32'hffffeba8);
test.u_cmp(32'h00000561,32'h00000005,32'h00001ae5);
test.u_cmp(32'h000002b0,32'h00000005,32'h00000d70);
test.u_cmp(32'h000007d8,32'h00000012,32'h00008d30);
test.u_cmp(32'h7ffffc14,32'h00000012,32'hffffb968);
test.u_cmp(32'h00000776,32'h0000003b,32'h0001b832);
test.u_cmp(32'h7ffffc45,32'h0000003b,32'h7fff23e7);
test.u_cmp(32'h000001dd,32'h0000000e,32'h00001a16);
test.u_cmp(32'h7fffff11,32'h0000000e,32'hfffff2ee);
test.u_cmp(32'h00000077,32'h00000003,32'h00000165);
test.u_cmp(32'h7fffffc4,32'h00000003,32'h7fffff4c);
test.u_cmp(32'h0000001d,32'h00000000,32'h00000000);
test.u_cmp(32'h7ffffff1,32'h00000000,32'h00000000);
test.u_cmp(32'h000002f9,32'h00000048,32'h0000d608);
test.u_cmp(32'h7ffffe83,32'h00000048,32'hffff94d8);
test.u_cmp(32'h000005c1,32'h00000002,32'h00000b82);
test.u_cmp(32'h000002e0,32'h00000002,32'h000005c0);
test.u_cmp(32'h00000510,32'h00000057,32'h0001b870);
test.u_cmp(32'h00000288,32'h00000057,32'h0000dc38);
test.u_cmp(32'h000002bc,32'h00000015,32'h0000396c);
test.u_cmp(32'h7ffffea2,32'h00000015,32'h7fffe34a);
test.u_cmp(32'h000000af,32'h0000002b,32'h00001d65);
test.u_cmp(32'h00000057,32'h0000002b,32'h00000e9d);
test.u_cmp(32'h00000455,32'h00000073,32'h0001f22f);
test.u_cmp(32'h7ffffdd5,32'h00000073,32'h7fff06af);
test.u_cmp(32'h00000696,32'h00000065,32'h0002992e);
test.u_cmp(32'h7ffffcb5,32'h00000065,32'h7ffeb369);
test.u_cmp(32'h000004da,32'h0000000a,32'h00003084);
test.u_cmp(32'h7ffffd93,32'h0000000a,32'hffffe7be);
test.u_cmp(32'h0000054a,32'h00000055,32'h0001c192);
test.u_cmp(32'h000002a5,32'h00000055,32'h0000e0c9);
test.u_cmp(32'h00000053,32'h0000007d,32'h00002887);
test.u_cmp(32'h7fffffd6,32'h0000007d,32'h7fffeb7e);
test.u_cmp(32'h000003eb,32'h00000031,32'h0000bffb);
test.u_cmp(32'h000001f5,32'h00000031,32'h00005fe5);
test.u_cmp(32'h0000067a,32'h0000001d,32'h0000bbd2);
test.u_cmp(32'h7ffffcc3,32'h0000001d,32'h7fffa217);
test.u_cmp(32'h000005e2,32'h00000080,32'h0002f100);
test.u_cmp(32'h000002f1,32'h00000080,32'h00017880);
test.u_cmp(32'h000006f9,32'h00000068,32'h0002d528);
test.u_cmp(32'h7ffffc83,32'h00000068,32'hfffe9538);
test.u_cmp(32'h0000063f,32'h0000004e,32'h0001e732);
test.u_cmp(32'h7ffffce0,32'h0000004e,32'hffff0c40);
test.u_cmp(32'h00000090,32'h0000007b,32'h00004530);
test.u_cmp(32'h00000048,32'h0000007b,32'h00002298);
test.u_cmp(32'h00000124,32'h0000005a,32'h000066a8);
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
test.u_cmp(32'h00000537,32'h00000029,32'h0000d5cf);
test.u_cmp(32'h7ffffd64,32'h00000029,32'h7fff9504);
test.u_cmp(32'h000003b3,32'h0000004e,32'h0001208a);
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
test.u_cmp(32'h00000593,32'h00000004,32'h0000164c);
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
test.u_cmp(32'h0000051c,32'h00000057,32'h0001bc84);
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
test.u_cmp(32'h00000047,32'h00000053,32'h00001705);
test.u_cmp(32'h00000023,32'h00000053,32'h00000b59);
test.u_cmp(32'h0000056e,32'h0000002b,32'h0000e97a);
test.u_cmp(32'h000002b7,32'h0000002b,32'h000074bd);
test.u_cmp(32'h000003a5,32'h00000062,32'h0001652a);
test.u_cmp(32'h000001d2,32'h00000062,32'h0000b264);
test.u_cmp(32'h000001ea,32'h00000070,32'h0000d660);
test.u_cmp(32'h000000f5,32'h00000070,32'h00006b30);
test.u_cmp(32'h00000385,32'h0000001c,32'h0000628c);
test.u_cmp(32'h000001c2,32'h0000001c,32'h00003138);
test.u_cmp(32'h000000e1,32'h00000007,32'h00000627);
test.u_cmp(32'h00000070,32'h00000007,32'h00000310);
test.u_cmp(32'h00000038,32'h0000002f,32'h00000a48);
test.u_cmp(32'h7fffffe4,32'h0000002f,32'h7ffffadc);
test.u_cmp(32'h0000068e,32'h0000001c,32'h0000b788);
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
test.u_cmp(32'h000005dd,32'h00000051,32'h0001daed);
test.u_cmp(32'h7ffffd11,32'h00000051,32'h7fff1261);
test.u_cmp(32'h00000078,32'h00000054,32'h00002760);
test.u_cmp(32'h7fffffc4,32'h00000054,32'hffffec50);
test.u_cmp(32'h0000079e,32'h00000043,32'h0001fe5a);
test.u_cmp(32'h7ffffc31,32'h00000043,32'h7fff00d3);
test.u_cmp(32'h00000218,32'h00000010,32'h00002180);
test.u_cmp(32'h7ffffef4,32'h00000010,32'hffffef40);
test.u_cmp(32'h0000027a,32'h0000006c,32'h00010b78);
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
test.u_cmp(32'h0000019f,32'h0000005d,32'h000096c3);
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
test.u_cmp(32'h00000518,32'h00000008,32'h000028c0);
test.u_cmp(32'h7ffffd74,32'h00000008,32'hffffeba0);
test.u_cmp(32'h0000053a,32'h00000056,32'h0001c17c);
test.u_cmp(32'h7ffffd63,32'h00000056,32'hffff1f42);
test.u_cmp(32'h000002b1,32'h00000015,32'h00003885);
test.u_cmp(32'h7ffffea7,32'h00000015,32'h7fffe3b3);
test.u_cmp(32'h000000ac,32'h00000005,32'h0000035c);
test.u_cmp(32'h00000056,32'h00000005,32'h000001ae);
test.u_cmp(32'h000002d5,32'h00000069,32'h0001295d);
test.u_cmp(32'h7ffffe95,32'h00000069,32'h7fff6b1d);
test.u_cmp(32'h000001b6,32'h0000005e,32'h0000a0d4);
test.u_cmp(32'h7fffff25,32'h0000005e,32'hffffaf96);
test.u_cmp(32'h000007ee,32'h00000070,32'h00037820);
test.u_cmp(32'h000003f7,32'h00000070,32'h0001bc10);
test.u_cmp(32'h00000484,32'h00000024,32'h0000a290);
test.u_cmp(32'h00000242,32'h00000024,32'h00005148);
test.u_cmp(32'h00000121,32'h00000009,32'h00000a29);
test.u_cmp(32'h00000090,32'h00000009,32'h00000510);
test.u_cmp(32'h000002b8,32'h0000006a,32'h00012030);
test.u_cmp(32'h7ffffea4,32'h0000006a,32'hffff6fe8);
test.u_cmp(32'h00000352,32'h0000001a,32'h00005654);
test.u_cmp(32'h7ffffe57,32'h0000001a,32'hffffd4d6);
test.u_cmp(32'h000000d4,32'h00000006,32'h000004f8);
test.u_cmp(32'h7fffff96,32'h00000006,32'hfffffd84);
test.u_cmp(32'h000002cb,32'h00000069,32'h00012543);
test.u_cmp(32'h00000165,32'h00000069,32'h0000926d);
test.u_cmp(32'h0000034d,32'h0000001a,32'h000055d2);
test.u_cmp(32'h000001a6,32'h0000001a,32'h00002adc);
test.u_cmp(32'h000000d3,32'h0000002a,32'h0000229e);
test.u_cmp(32'h7fffff96,32'h0000002a,32'hffffee9c);
test.u_cmp(32'h000006b4,32'h00000035,32'h00016344);
test.u_cmp(32'h7ffffca6,32'h00000035,32'h7fff4e5e);
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
test.u_cmp(32'h000005ab,32'h0000002d,32'h0000ff0f);
test.u_cmp(32'h000002d5,32'h0000002d,32'h00007f71);
test.u_cmp(32'h0000016a,32'h00000025,32'h00003452);
test.u_cmp(32'h000000b5,32'h00000025,32'h00001a29);
test.u_cmp(32'h00000426,32'h00000072,32'h0001d8ec);
test.u_cmp(32'h00000213,32'h00000072,32'h0000ec76);
test.u_cmp(32'h0000068a,32'h00000065,32'h00029472);
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
test.u_cmp(32'h000004dd,32'h00000026,32'h0000b8ce);
test.u_cmp(32'h7ffffd91,32'h00000026,32'hffffa386);
test.u_cmp(32'h00000137,32'h00000027,32'h00002f61);
test.u_cmp(32'h7fffff64,32'h00000027,32'h7fffe83c);
test.u_cmp(32'h00000433,32'h0000005e,32'h00018aba);
test.u_cmp(32'h7ffffde6,32'h0000005e,32'hffff3a74);
test.u_cmp(32'h000002f3,32'h00000039,32'h0000a81b);
test.u_cmp(32'h7ffffe86,32'h00000039,32'h7fffabd6);
test.u_cmp(32'h0000063c,32'h00000031,32'h0001317c);
test.u_cmp(32'h7ffffce2,32'h00000031,32'h7fff6742);
test.u_cmp(32'h0000018f,32'h00000024,32'h0000381c);
test.u_cmp(32'h000000c7,32'h00000024,32'h00001bfc);
test.u_cmp(32'h0000041d,32'h0000005f,32'h000186c3);
test.u_cmp(32'h7ffffdf1,32'h0000005f,32'h7fff3c6f);
test.u_cmp(32'h00000008,32'h00000051,32'h00000288);
test.u_cmp(32'h00000004,32'h00000051,32'h00000144);
test.u_cmp(32'h0000057e,32'h0000002b,32'h0000ec2a);
test.u_cmp(32'h7ffffd41,32'h0000002b,32'h7fff89eb);
test.u_cmp(32'h000003a1,32'h0000004e,32'h00011b0e);
test.u_cmp(32'h000001d0,32'h0000004e,32'h00008d60);
test.u_cmp(32'h00000597,32'h00000004,32'h0000165c);
test.u_cmp(32'h7ffffd34,32'h00000004,32'hfffff4d0);
test.u_cmp(32'h0000051b,32'h00000057,32'h0001bc2d);
test.u_cmp(32'h7ffffd72,32'h00000057,32'h7fff21be);
test.u_cmp(32'h00000047,32'h0000007d,32'h000022ab);
test.u_cmp(32'h00000023,32'h0000007d,32'h00001117);
test.u_cmp(32'h000003ee,32'h00000031,32'h0000c08e);
test.u_cmp(32'h000001f7,32'h00000031,32'h00006047);
test.u_cmp(32'h0000067b,32'h00000033,32'h00014a81);
test.u_cmp(32'h7ffffcc2,32'h00000033,32'h7fff5aa6);
test.u_cmp(32'h0000019e,32'h00000024,32'h00003a38);
test.u_cmp(32'h7fffff31,32'h00000024,32'hffffe2e4);
test.u_cmp(32'h000006e7,32'h00000019,32'h0000ac8f);
test.u_cmp(32'h00000373,32'h00000019,32'h0000563b);
test.u_cmp(32'h00000739,32'h00000039,32'h00019bb1);
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
test.u_cmp(32'h000001ce,32'h0000000e,32'h00001944);
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
test.u_cmp(32'h0000028d,32'h0000006b,32'h000110ef);
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
test.u_cmp(32'h000001a4,32'h00000072,32'h0000bb08);
test.u_cmp(32'h000000d2,32'h00000072,32'h00005d84);
test.u_cmp(32'h00000169,32'h00000074,32'h0000a394);
test.u_cmp(32'h000000b4,32'h00000074,32'h00005190);
test.u_cmp(32'h000003a5,32'h00000033,32'h0000b9df);
test.u_cmp(32'h000001d2,32'h00000033,32'h00005cd6);
test.u_cmp(32'h00000497,32'h00000075,32'h00021903);
test.u_cmp(32'h7ffffdb4,32'h00000075,32'h7ffef344);
test.u_cmp(32'h0000045a,32'h0000000e,32'h00003cec);
test.u_cmp(32'h7ffffdd3,32'h0000000e,32'hffffe18a);
test.u_cmp(32'h00000796,32'h0000003c,32'h0001c728);
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
test.u_cmp(32'h0000031b,32'h00000067,32'h00013fdd);
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
test.u_cmp(32'h000001c7,32'h00000071,32'h0000c8d7);
test.u_cmp(32'h000000e3,32'h00000071,32'h00006433);
test.u_cmp(32'h0000038e,32'h0000001c,32'h00006388);
test.u_cmp(32'h000001c7,32'h0000001c,32'h000031c4);
test.u_cmp(32'h0000021d,32'h0000006f,32'h0000ea93);
test.u_cmp(32'h7ffffef1,32'h0000006f,32'h7fff8a7f);
test.u_cmp(32'h00000188,32'h0000005d,32'h00008e68);
test.u_cmp(32'h000000c4,32'h0000005d,32'h00004734);
test.u_cmp(32'h000007e2,32'h00000040,32'h0001f880);
test.u_cmp(32'h000003f1,32'h00000040,32'h0000fc40);
test.u_cmp(32'h000000f9,32'h00000078,32'h000074b8);
test.u_cmp(32'h7fffff83,32'h00000078,32'hffffc568);
test.u_cmp(32'h000003c1,32'h00000032,32'h0000bbb2);
test.u_cmp(32'h000001e0,32'h00000032,32'h00005dc0);
test.u_cmp(32'h00000490,32'h00000075,32'h000215d0);
test.u_cmp(32'h00000248,32'h00000075,32'h00010ae8);
test.u_cmp(32'h0000045c,32'h00000022,32'h00009438);
test.u_cmp(32'h7ffffdd2,32'h00000022,32'hffffb5e4);
test.u_cmp(32'h000003e9,32'h00000060,32'h00017760);
test.u_cmp(32'h000001f4,32'h00000060,32'h0000bb80);
test.u_cmp(32'h00000305,32'h00000038,32'h0000a918);
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
test.u_cmp(32'h000004bf,32'h00000076,32'h0002300a);
test.u_cmp(32'h7ffffda0,32'h00000076,32'hfffee7c0);
test.u_cmp(32'h00000450,32'h0000000e,32'h00003c60);
test.u_cmp(32'h7ffffdd8,32'h0000000e,32'hffffe1d0);
test.u_cmp(32'h00000794,32'h0000003c,32'h0001c6b0);
test.u_cmp(32'h7ffffc36,32'h0000003c,32'hffff1ca8);
test.u_cmp(32'h000001e5,32'h0000000f,32'h00001c6b);
test.u_cmp(32'h000000f2,32'h0000000f,32'h00000e2e);
test.u_cmp(32'h00000287,32'h0000006b,32'h00010e6d);
test.u_cmp(32'h00000143,32'h0000006b,32'h00008701);
test.u_cmp(32'h000001a2,32'h00000072,32'h0000ba24);
test.u_cmp(32'h000000d1,32'h00000072,32'h00005d12);
test.u_cmp(32'h00000397,32'h0000001c,32'h00006484);
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
test.u_cmp(32'h000000e5,32'h00000007,32'h00000643);
test.u_cmp(32'h00000072,32'h00000007,32'h0000031e);
test.u_cmp(32'h00000039,32'h0000002f,32'h00000a77);
test.u_cmp(32'h7fffffe3,32'h0000002f,32'h7ffffaad);
test.u_cmp(32'h0000068e,32'h0000001c,32'h0000b788);
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
test.u_cmp(32'h000005dd,32'h00000051,32'h0001daed);
test.u_cmp(32'h7ffffd11,32'h00000051,32'h7fff1261);
test.u_cmp(32'h00000288,32'h00000014,32'h000032a0);
test.u_cmp(32'h00000144,32'h00000014,32'h00001950);
test.u_cmp(32'h000000a2,32'h00000005,32'h0000032a);
test.u_cmp(32'h00000051,32'h00000005,32'h00000195);
test.u_cmp(32'h00000028,32'h0000002f,32'h00000758);
test.u_cmp(32'h00000014,32'h0000002f,32'h000003ac);
test.u_cmp(32'h00000476,32'h0000005c,32'h00019a68);
test.u_cmp(32'h7ffffdc5,32'h0000005c,32'hffff32cc);
test.u_cmp(32'h000002e2,32'h00000017,32'h0000424e);
test.u_cmp(32'h00000171,32'h00000017,32'h00002127);
test.u_cmp(32'h00000248,32'h0000006d,32'h0000f8a8);
test.u_cmp(32'h00000124,32'h0000006d,32'h00007c54);
test.u_cmp(32'h00000192,32'h00000073,32'h0000b496);
test.u_cmp(32'h7fffff37,32'h00000073,32'h7fffa5b5);
test.u_cmp(32'h0000039b,32'h00000034,32'h0000bb7c);
test.u_cmp(32'h7ffffe32,32'h00000034,32'hffffa228);
test.u_cmp(32'h0000049a,32'h00000075,32'h00021a62);
test.u_cmp(32'h7ffffdb3,32'h00000075,32'h7ffef2cf);
test.u_cmp(32'h00000459,32'h0000000e,32'h00003cde);
test.u_cmp(32'h7ffffdd3,32'h0000000e,32'hffffe18a);
test.u_cmp(32'h00000796,32'h0000003c,32'h0001c728);
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
test.u_cmp(32'h000001e5,32'h0000000f,32'h00001c6b);
test.u_cmp(32'h000000f2,32'h0000000f,32'h00000e2e);
test.u_cmp(32'h00000287,32'h00000045,32'h0000ae63);
test.u_cmp(32'h00000143,32'h00000045,32'h0000570f);
test.u_cmp(32'h00000722,32'h0000006a,32'h0002f414);
test.u_cmp(32'h00000391,32'h0000006a,32'h00017a0a);
test.u_cmp(32'h000004b7,32'h00000025,32'h0000ae73);
test.u_cmp(32'h7ffffda4,32'h00000025,32'h7fffa8b4);
test.u_cmp(32'h000003d3,32'h0000004f,32'h00012e1d);
test.u_cmp(32'h7ffffe16,32'h0000004f,32'h7fff68ca);
test.u_cmp(32'h00000775,32'h0000006c,32'h0003255c);
test.u_cmp(32'h7ffffc45,32'h0000006c,32'hfffe6d1c);
test.u_cmp(32'h000004a2,32'h0000000b,32'h000032f6);
test.u_cmp(32'h00000251,32'h0000000b,32'h0000197b);
test.u_cmp(32'h000007a8,32'h0000003d,32'h0001d308);
test.u_cmp(32'h000003d4,32'h0000003d,32'h0000e984);
test.u_cmp(32'h00000316,32'h00000067,32'h00013dda);
test.u_cmp(32'h7ffffe75,32'h00000067,32'h7fff6113);
test.u_cmp(32'h0000033a,32'h00000019,32'h000050aa);
test.u_cmp(32'h7ffffe63,32'h00000019,32'h7fffd7ab);
test.u_cmp(32'h00000232,32'h0000006e,32'h0000f17c);
test.u_cmp(32'h7ffffee7,32'h0000006e,32'hffff8742);
test.u_cmp(32'h00000373,32'h00000035,32'h0000b6cf);
test.u_cmp(32'h7ffffe46,32'h00000035,32'h7fffa47e);
test.u_cmp(32'h000004a4,32'h00000076,32'h00022398);
test.u_cmp(32'h00000252,32'h00000076,32'h000111cc);
test.u_cmp(32'h00000457,32'h0000000e,32'h00003cc2);
test.u_cmp(32'h7ffffdd4,32'h0000000e,32'hffffe198);
test.u_cmp(32'h00000795,32'h00000014,32'h000097a4);
test.u_cmp(32'h7ffffc35,32'h00000014,32'hffffb424);
test.u_cmp(32'h0000059b,32'h00000053,32'h0001d141);
test.u_cmp(32'h7ffffd32,32'h00000053,32'h7fff1736);
test.u_cmp(32'h00000067,32'h00000054,32'h000021cc);
test.u_cmp(32'h00000033,32'h00000054,32'h000010bc);
test.u_cmp(32'h00000566,32'h0000002b,32'h0000e822);
test.u_cmp(32'h000002b3,32'h0000002b,32'h00007411);
test.u_cmp(32'h00000159,32'h0000000a,32'h00000d7a);
test.u_cmp(32'h7fffff53,32'h0000000a,32'hfffff93e);
test.u_cmp(32'h000002aa,32'h00000046,32'h0000ba7c);
test.u_cmp(32'h00000155,32'h00000046,32'h00005d3e);
test.u_cmp(32'h000005d5,32'h0000002e,32'h00010c46);
test.u_cmp(32'h7ffffd15,32'h0000002e,32'hffff79c6);
test.u_cmp(32'h0000038b,32'h00000063,32'h00015ec1);
test.u_cmp(32'h000001c5,32'h00000063,32'h0000af2f);
test.u_cmp(32'h000001e3,32'h00000060,32'h0000b520);
test.u_cmp(32'h000000f1,32'h00000060,32'h00005a60);
test.u_cmp(32'h000007f9,32'h00000040,32'h0001fe40);
test.u_cmp(32'h7ffffc03,32'h00000040,32'hffff00c0);
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
test.u_cmp(32'h000003c0,32'h00000032,32'h0000bb80);
test.u_cmp(32'h000001e0,32'h00000032,32'h00005dc0);
test.u_cmp(32'h00000490,32'h0000005b,32'h00019f30);
test.u_cmp(32'h00000248,32'h0000005b,32'h0000cf98);
test.u_cmp(32'h000002dc,32'h00000016,32'h00003ee8);
test.u_cmp(32'h7ffffe92,32'h00000016,32'hffffe08c);
test.u_cmp(32'h00000249,32'h0000006d,32'h0000f915);
test.u_cmp(32'h00000124,32'h0000006d,32'h00007c54);
test.u_cmp(32'h0000036d,32'h0000001b,32'h00005c7f);
test.u_cmp(32'h000001b6,32'h0000001b,32'h00002e32);
test.u_cmp(32'h00000225,32'h0000006e,32'h0000ebe6);
test.u_cmp(32'h00000112,32'h0000006e,32'h000075bc);
test.u_cmp(32'h00000376,32'h00000035,32'h0000b76e);
test.u_cmp(32'h7ffffe45,32'h00000035,32'h7fffa449);
test.u_cmp(32'h000004a3,32'h0000005a,32'h0001a14e);
test.u_cmp(32'h00000251,32'h0000005a,32'h0000d07a);
test.u_cmp(32'h000002d7,32'h0000003a,32'h0000a4b6);
test.u_cmp(32'h7ffffe94,32'h0000003a,32'hffffad88);
test.u_cmp(32'h00000635,32'h0000001f,32'h0000c06b);
test.u_cmp(32'h7ffffce5,32'h0000001f,32'h7fff9fbb);
test.u_cmp(32'h000005f3,32'h00000080,32'h0002f980);
test.u_cmp(32'h7ffffd06,32'h00000080,32'hfffe8300);
test.u_cmp(32'h000006fd,32'h00000068,32'h0002d6c8);
test.u_cmp(32'h7ffffc81,32'h00000068,32'hfffe9468);
test.u_cmp(32'h00000640,32'h00000062,32'h00026480);
test.u_cmp(32'h7ffffce0,32'h00000062,32'hfffecdc0);
test.u_cmp(32'h00000610,32'h0000004f,32'h0001def0);
test.u_cmp(32'h00000308,32'h0000004f,32'h0000ef78);
test.u_cmp(32'h00000084,32'h0000007b,32'h00003f6c);
test.u_cmp(32'h00000042,32'h0000007b,32'h00001fb6);
test.u_cmp(32'h000003df,32'h00000032,32'h0000c18e);
test.u_cmp(32'h7ffffe10,32'h00000032,32'hffff9f20);
test.u_cmp(32'h00000677,32'h00000033,32'h000149b5);
test.u_cmp(32'h7ffffcc4,32'h00000033,32'h7fff5b0c);
test.u_cmp(32'h00000363,32'h00000064,32'h000152ac);
test.u_cmp(32'h000001b1,32'h00000064,32'h0000a924);
test.u_cmp(32'h00000327,32'h00000037,32'h0000ad61);
test.u_cmp(32'h00000193,32'h00000037,32'h00005695);
test.u_cmp(32'h00000649,32'h0000001e,32'h0000bc8e);
test.u_cmp(32'h00000324,32'h0000001e,32'h00005e38);
test.u_cmp(32'h000005ee,32'h00000050,32'h0001da60);
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
test.u_cmp(32'h00000284,32'h0000003c,32'h000096f0);
test.u_cmp(32'h00000142,32'h0000003c,32'h00004b78);
test.u_cmp(32'h00000621,32'h00000031,32'h00012c51);
test.u_cmp(32'h00000310,32'h00000031,32'h00009610);
test.u_cmp(32'h00000188,32'h0000000c,32'h00001260);
test.u_cmp(32'h000000c4,32'h0000000c,32'h00000930);
test.u_cmp(32'h00000062,32'h00000003,32'h00000126);
test.u_cmp(32'h00000031,32'h00000003,32'h00000093);
test.u_cmp(32'h00000018,32'h00000030,32'h00000480);
test.u_cmp(32'h7ffffff4,32'h00000030,32'hfffffdc0);
test.u_cmp(32'h00000686,32'h0000001c,32'h0000b6a8);
test.u_cmp(32'h00000343,32'h0000001c,32'h00005b54);
test.u_cmp(32'h00000721,32'h00000017,32'h0000a3f7);
test.u_cmp(32'h00000390,32'h00000017,32'h000051f0);
test.u_cmp(32'h000005b8,32'h00000052,32'h0001d4f0);
test.u_cmp(32'h7ffffd24,32'h00000052,32'hffff1588);
test.u_cmp(32'h0000006e,32'h0000007c,32'h00003548);
test.u_cmp(32'h00000037,32'h0000007c,32'h00001aa4);
test.u_cmp(32'h000003e4,32'h0000001f,32'h0000789c);
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
test.u_cmp(32'h00000207,32'h00000041,32'h000083c7);
test.u_cmp(32'h00000103,32'h00000041,32'h000041c3);
test.u_cmp(32'h00000702,32'h00000047,32'h0001f18e);
test.u_cmp(32'h00000381,32'h00000047,32'h0000f8c7);
test.u_cmp(32'h0000023f,32'h00000011,32'h0000262f);
test.u_cmp(32'h7ffffee0,32'h00000011,32'h7fffece0);
test.u_cmp(32'h0000008f,32'h00000004,32'h0000023c);
test.u_cmp(32'h00000047,32'h00000004,32'h0000011c);
test.u_cmp(32'h000002dd,32'h00000047,32'h0000cb4b);
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
test.u_cmp(32'h00000738,32'h0000006a,32'h0002fd30);
test.u_cmp(32'h7ffffc64,32'h0000006a,32'hfffe8168);
test.u_cmp(32'h000004b2,32'h0000000b,32'h000033a6);
test.u_cmp(32'h7ffffda7,32'h0000000b,32'h7fffe62d);
test.u_cmp(32'h00000554,32'h0000007b,32'h00028f5c);
test.u_cmp(32'h7ffffd56,32'h0000007b,32'h7ffeb852);
test.u_cmp(32'h000006d5,32'h00000067,32'h0002bfb3);
test.u_cmp(32'h7ffffc95,32'h00000067,32'h7ffe9ff3);
test.u_cmp(32'h000004ca,32'h00000026,32'h0000b5fc);
test.u_cmp(32'h00000265,32'h00000026,32'h00005afe);
test.u_cmp(32'h00000132,32'h00000027,32'h00002e9e);
test.u_cmp(32'h7fffff67,32'h00000027,32'h7fffe8b1);
test.u_cmp(32'h00000434,32'h00000072,32'h0001df28);
test.u_cmp(32'h7ffffde6,32'h00000072,32'hffff106c);
test.u_cmp(32'h00000473,32'h0000000d,32'h000039d7);
test.u_cmp(32'h7ffffdc6,32'h0000000d,32'h7fffe30e);
test.u_cmp(32'h0000079c,32'h00000014,32'h00009830);
test.u_cmp(32'h7ffffc32,32'h00000014,32'hffffb3e8);
test.u_cmp(32'h00000767,32'h00000015,32'h00009b73);
test.u_cmp(32'h000003b3,32'h00000015,32'h00004daf);
test.u_cmp(32'h00000759,32'h0000003a,32'h0001aa2a);
test.u_cmp(32'h7ffffc53,32'h0000003a,32'hffff2ace);
test.u_cmp(32'h000001d6,32'h0000000e,32'h000019b4);
test.u_cmp(32'h7fffff15,32'h0000000e,32'hfffff326);
test.u_cmp(32'h0000028b,32'h00000045,32'h0000af77);
test.u_cmp(32'h00000145,32'h00000045,32'h00005799);
test.u_cmp(32'h00000723,32'h00000046,32'h0001f392);
test.u_cmp(32'h00000391,32'h00000046,32'h0000f9a6);
test.u_cmp(32'h000000c9,32'h00000057,32'h0000444f);
test.u_cmp(32'h00000064,32'h00000057,32'h000021fc);
test.u_cmp(32'h0000054d,32'h0000002a,32'h0000dea2);
test.u_cmp(32'h000002a6,32'h0000002a,32'h00006f3c);
test.u_cmp(32'h000003ad,32'h0000004e,32'h00011eb6);
test.u_cmp(32'h000001d6,32'h0000004e,32'h00008f34);
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
test.u_cmp(32'h000000db,32'h00000057,32'h00004a6d);
test.u_cmp(32'h7fffff92,32'h00000057,32'h7fffda9e);
test.u_cmp(32'h000007b7,32'h00000042,32'h0001fd2e);
test.u_cmp(32'h7ffffc24,32'h00000042,32'hffff0148);
test.u_cmp(32'h000000ee,32'h00000078,32'h00006f90);
test.u_cmp(32'h00000077,32'h00000078,32'h000037c8);
test.u_cmp(32'h000003c4,32'h0000001e,32'h000070f8);
test.u_cmp(32'h000001e2,32'h0000001e,32'h0000387c);
test.u_cmp(32'h0000020f,32'h0000006f,32'h0000e481);
test.u_cmp(32'h00000107,32'h0000006f,32'h00007209);
test.u_cmp(32'h0000037c,32'h00000035,32'h0000b8ac);
test.u_cmp(32'h7ffffe42,32'h00000035,32'h7fffa3aa);
test.u_cmp(32'h000004a1,32'h0000005a,32'h0001a09a);
test.u_cmp(32'h00000250,32'h0000005a,32'h0000d020);
test.u_cmp(32'h00000029,32'h0000007e,32'h0000142e);
test.u_cmp(32'h00000014,32'h0000007e,32'h000009d8);
test.u_cmp(32'h000003f5,32'h00000031,32'h0000c1e5);
test.u_cmp(32'h7ffffe05,32'h00000031,32'h7fff9ef5);
test.u_cmp(32'h0000067d,32'h00000033,32'h00014ae7);
test.u_cmp(32'h7ffffcc1,32'h00000033,32'h7fff5a73);
test.u_cmp(32'h0000019f,32'h00000024,32'h00003a5c);
test.u_cmp(32'h7fffff30,32'h00000024,32'hffffe2c0);
test.u_cmp(32'h00000419,32'h0000005f,32'h00018547);
test.u_cmp(32'h7ffffdf3,32'h0000005f,32'h7fff3d2d);
test.u_cmp(32'h000002f9,32'h00000017,32'h0000445f);
test.u_cmp(32'h7ffffe83,32'h00000017,32'h7fffddc5);
test.u_cmp(32'h00000242,32'h00000043,32'h00009746);
test.u_cmp(32'h00000121,32'h00000043,32'h00004ba3);
test.u_cmp(32'h00000711,32'h00000047,32'h0001f5b7);
test.u_cmp(32'h7ffffc77,32'h00000047,32'h7fff0501);
test.u_cmp(32'h0000023b,32'h00000011,32'h000025eb);
test.u_cmp(32'h7ffffee2,32'h00000011,32'h7fffed02);
test.u_cmp(32'h0000008e,32'h0000002c,32'h00001868);
test.u_cmp(32'h00000047,32'h0000002c,32'h00000c34);
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
test.u_cmp(32'h00000728,32'h00000017,32'h0000a498);
test.u_cmp(32'h00000394,32'h00000017,32'h0000524c);
test.u_cmp(32'h000005b6,32'h00000052,32'h0001d44c);
test.u_cmp(32'h7ffffd25,32'h00000052,32'hffff15da);
test.u_cmp(32'h00000292,32'h0000003c,32'h00009a38);
test.u_cmp(32'h7ffffeb7,32'h0000003c,32'hffffb2e4);
test.u_cmp(32'h00000624,32'h0000001f,32'h0000be5c);
test.u_cmp(32'h00000312,32'h0000001f,32'h00005f2e);
test.u_cmp(32'h00000709,32'h00000018,32'h0000a8d8);
test.u_cmp(32'h00000384,32'h00000018,32'h00005460);
test.u_cmp(32'h000005be,32'h0000007e,32'h0002d384);
test.u_cmp(32'h7ffffd21,32'h0000007e,32'hfffe963e);
test.u_cmp(32'h00000410,32'h00000010,32'h00004100);
test.u_cmp(32'h7ffffdf8,32'h00000010,32'hffffdf80);
test.u_cmp(32'h00000784,32'h00000014,32'h00009650);
test.u_cmp(32'h000003c2,32'h00000014,32'h00004b28);
test.u_cmp(32'h00000761,32'h0000003b,32'h0001b35b);
test.u_cmp(32'h000003b0,32'h0000003b,32'h0000d990);
test.u_cmp(32'h00000328,32'h00000066,32'h000141f0);
test.u_cmp(32'h00000194,32'h00000066,32'h0000a0f8);
test.u_cmp(32'h000001ca,32'h0000005f,32'h0000a9f6);
test.u_cmp(32'h000000e5,32'h0000005f,32'h000054fb);
test.u_cmp(32'h000007f3,32'h00000070,32'h00037a50);
test.u_cmp(32'h7ffffc06,32'h00000070,32'hfffe42a0);
test.u_cmp(32'h00000483,32'h00000024,32'h0000a26c);
test.u_cmp(32'h00000241,32'h00000024,32'h00005124);
test.u_cmp(32'h000003e0,32'h0000004f,32'h00013220);
test.u_cmp(32'h7ffffe10,32'h0000004f,32'h7fff66f0);
test.u_cmp(32'h00000778,32'h00000044,32'h0001fbe0);
test.u_cmp(32'h7ffffc44,32'h00000044,32'hffff0210);
test.u_cmp(32'h00000222,32'h00000011,32'h00002442);
test.u_cmp(32'h00000111,32'h00000011,32'h00001221);
test.u_cmp(32'h00000278,32'h00000044,32'h0000a7e0);
test.u_cmp(32'h7ffffec4,32'h00000044,32'hffffac10);
test.u_cmp(32'h0000071e,32'h00000069,32'h0002eb4e);
test.u_cmp(32'h7ffffc71,32'h00000069,32'h7ffe8a59);
test.u_cmp(32'h00000648,32'h0000004d,32'h0001e3a8);
test.u_cmp(32'h00000324,32'h0000004d,32'h0000f1d4);
test.u_cmp(32'h0000026e,32'h00000013,32'h00002e2a);
test.u_cmp(32'h00000137,32'h00000013,32'h00001715);
test.u_cmp(32'h0000009b,32'h00000004,32'h0000026c);
test.u_cmp(32'h7fffffb2,32'h00000004,32'hfffffec8);
test.u_cmp(32'h000002da,32'h00000069,32'h00012b6a);
test.u_cmp(32'h7ffffe93,32'h00000069,32'h7fff6a4b);
test.u_cmp(32'h00000349,32'h00000036,32'h0000b166);
test.u_cmp(32'h000001a4,32'h00000036,32'h00005898);
test.u_cmp(32'h00000652,32'h00000032,32'h00013c04);
test.u_cmp(32'h7ffffcd7,32'h00000032,32'hffff61fe);
test.u_cmp(32'h00000194,32'h0000000c,32'h000012f0);
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
test.u_cmp(32'h00000065,32'h00000003,32'h0000012f);
test.u_cmp(32'h00000032,32'h00000003,32'h00000096);
test.u_cmp(32'h000002e7,32'h00000048,32'h0000d0f8);
test.u_cmp(32'h00000173,32'h00000048,32'h00006858);
test.u_cmp(32'h0000073a,32'h0000006a,32'h0002fe04);
test.u_cmp(32'h7ffffc63,32'h0000006a,32'hfffe80fe);
test.u_cmp(32'h000004b1,32'h00000025,32'h0000ad95);
test.u_cmp(32'h7ffffda7,32'h00000025,32'h7fffa923);
test.u_cmp(32'h0000012c,32'h00000009,32'h00000a8c);
test.u_cmp(32'h00000096,32'h00000009,32'h00000546);
test.u_cmp(32'h000002b5,32'h0000006a,32'h00011ef2);
test.u_cmp(32'h7ffffea5,32'h0000006a,32'hffff7052);
test.u_cmp(32'h000001ae,32'h0000005e,32'h00009de4);
test.u_cmp(32'h000000d7,32'h0000005e,32'h00004ef2);
test.u_cmp(32'h00000514,32'h00000008,32'h000028a0);
test.u_cmp(32'h7ffffd76,32'h00000008,32'hffffebb0);
test.u_cmp(32'h0000053b,32'h0000007a,32'h00027e1e);
test.u_cmp(32'h7ffffd62,32'h0000007a,32'hfffec0b4);
test.u_cmp(32'h00000431,32'h00000021,32'h00008a51);
test.u_cmp(32'h7ffffde7,32'h00000021,32'h7fffbac7);
test.u_cmp(32'h0000010c,32'h00000028,32'h000029e0);
test.u_cmp(32'h00000086,32'h00000028,32'h000014f0);
test.u_cmp(32'h0000043d,32'h0000005e,32'h00018e66);
test.u_cmp(32'h7ffffde1,32'h0000005e,32'hffff389e);
test.u_cmp(32'h000002f0,32'h00000017,32'h00004390);
test.u_cmp(32'h7ffffe88,32'h00000017,32'h7fffde38);
test.u_cmp(32'h00000244,32'h0000006d,32'h0000f6f4);
test.u_cmp(32'h00000122,32'h0000006d,32'h00007b7a);
test.u_cmp(32'h0000036f,32'h0000001b,32'h00005cb5);
test.u_cmp(32'h000001b7,32'h0000001b,32'h00002e4d);
test.u_cmp(32'h000000db,32'h0000002a,32'h000023ee);
test.u_cmp(32'h7fffff92,32'h0000002a,32'hffffedf4);
test.u_cmp(32'h000006b6,32'h00000035,32'h000163ae);
test.u_cmp(32'h7ffffca5,32'h00000035,32'h7fff4e29);
test.u_cmp(32'h00000353,32'h0000004b,32'h0000f951);
test.u_cmp(32'h7ffffe56,32'h0000004b,32'h7fff8332);
test.u_cmp(32'h00000755,32'h00000045,32'h0001f9e9);
test.u_cmp(32'h7ffffc55,32'h00000045,32'h7fff02e9);
test.u_cmp(32'h0000022a,32'h0000003f,32'h00008856);
test.u_cmp(32'h00000115,32'h0000003f,32'h0000442b);
test.u_cmp(32'h000004f6,32'h00000078,32'h00025350);
test.u_cmp(32'h7ffffd85,32'h00000078,32'hfffed658);
test.u_cmp(32'h000006be,32'h0000004a,32'h0001f2ec);
test.u_cmp(32'h7ffffca1,32'h0000004a,32'hffff068a);
test.u_cmp(32'h000000b0,32'h00000056,32'h00003b20);
test.u_cmp(32'h00000058,32'h00000056,32'h00001d90);
test.u_cmp(32'h000007ac,32'h0000006e,32'h00034be8);
test.u_cmp(32'h000003d6,32'h0000006e,32'h0001a5f4);
test.u_cmp(32'h0000066b,32'h0000004c,32'h0001e7c4);
test.u_cmp(32'h00000335,32'h0000004c,32'h0000f3bc);
test.u_cmp(32'h0000009b,32'h0000007b,32'h00004a79);
test.u_cmp(32'h7fffffb2,32'h0000007b,32'h7fffda86);
test.u_cmp(32'h00000127,32'h0000005a,32'h000067b6);
test.u_cmp(32'h00000093,32'h0000005a,32'h000033ae);
test.u_cmp(32'h00000536,32'h00000007,32'h0000247a);
test.u_cmp(32'h7ffffd65,32'h00000007,32'h7fffedc3);
test.u_cmp(32'h00000533,32'h00000056,32'h0001bf22);
test.u_cmp(32'h7ffffd66,32'h00000056,32'hffff2044);
test.u_cmp(32'h0000004d,32'h00000053,32'h000018f7);
test.u_cmp(32'h00000026,32'h00000053,32'h00000c52);
test.u_cmp(32'h0000056c,32'h0000002b,32'h0000e924);
test.u_cmp(32'h000002b6,32'h0000002b,32'h00007492);
test.u_cmp(32'h000003a5,32'h0000004e,32'h00011c46);
test.u_cmp(32'h000001d2,32'h0000004e,32'h00008dfc);
test.u_cmp(32'h00000596,32'h0000002c,32'h0000f5c8);
test.u_cmp(32'h7ffffd35,32'h0000002c,32'hffff851c);
test.u_cmp(32'h00000165,32'h0000000b,32'h00000f57);
test.u_cmp(32'h000000b2,32'h0000000b,32'h000007a6);
test.u_cmp(32'h00000059,32'h0000002e,32'h00000ffe);
test.u_cmp(32'h7fffffd3,32'h0000002e,32'hfffff7ea);
test.u_cmp(32'h0000046a,32'h0000005c,32'h00019618);
test.u_cmp(32'h00000235,32'h0000005c,32'h0000cb0c);
test.u_cmp(32'h000002e5,32'h00000039,32'h0000a4fd);
test.u_cmp(32'h00000172,32'h00000039,32'h00005262);
test.u_cmp(32'h000004c7,32'h00000077,32'h00023881);
test.u_cmp(32'h00000263,32'h00000077,32'h00011c05);
test.u_cmp(32'h0000044e,32'h0000000e,32'h00003c44);
test.u_cmp(32'h00000227,32'h0000000e,32'h00001e22);
test.u_cmp(32'h0000056d,32'h00000054,32'h0001c7c4);
test.u_cmp(32'h000002b6,32'h00000054,32'h0000e3b8);
test.u_cmp(32'h0000005c,32'h00000053,32'h00001dd4);
test.u_cmp(32'h7fffffd2,32'h00000053,32'h7ffff116);
test.u_cmp(32'h00000797,32'h00000043,32'h0001fc85);
test.u_cmp(32'h7ffffc34,32'h00000043,32'h7fff019c);
test.u_cmp(32'h000000e6,32'h00000058,32'h00004f10);
test.u_cmp(32'h00000073,32'h00000058,32'h00002788);
test.u_cmp(32'h00000546,32'h00000006,32'h00001fa4);
test.u_cmp(32'h000002a3,32'h00000006,32'h00000fd2);
test.u_cmp(32'h0000052f,32'h00000056,32'h0001bdca);
test.u_cmp(32'h00000297,32'h00000056,32'h0000deba);
test.u_cmp(32'h000002b4,32'h00000015,32'h000038c4);
test.u_cmp(32'h7ffffea6,32'h00000015,32'h7fffe39e);
test.u_cmp(32'h00000253,32'h0000006d,32'h0000fd57);
test.u_cmp(32'h7ffffed6,32'h0000006d,32'h7fff811e);
test.u_cmp(32'h0000036b,32'h00000035,32'h0000b527);
test.u_cmp(32'h000001b5,32'h00000035,32'h00005a79);
test.u_cmp(32'h000004a6,32'h00000076,32'h00022484);
test.u_cmp(32'h00000253,32'h00000076,32'h00011242);
test.u_cmp(32'h00000456,32'h0000000e,32'h00003cb4);
test.u_cmp(32'h7ffffdd5,32'h0000000e,32'hffffe1a6);
test.u_cmp(32'h00000795,32'h0000003c,32'h0001c6ec);
test.u_cmp(32'h7ffffc35,32'h0000003c,32'hffff1c6c);
test.u_cmp(32'h000001e5,32'h00000021,32'h00003e85);
test.u_cmp(32'h000000f2,32'h00000021,32'h00001f32);
test.u_cmp(32'h00000407,32'h00000071,32'h0001c717);
test.u_cmp(32'h00000203,32'h00000071,32'h0000e353);
test.u_cmp(32'h0000047e,32'h00000023,32'h00009d3a);
test.u_cmp(32'h7ffffdc1,32'h00000023,32'h7fffb163);
test.u_cmp(32'h000003e1,32'h00000060,32'h00017460);
test.u_cmp(32'h000001f0,32'h00000060,32'h0000ba00);
test.u_cmp(32'h00000307,32'h00000018,32'h000048a8);
test.u_cmp(32'h00000183,32'h00000018,32'h00002448);
test.u_cmp(32'h000000c1,32'h0000002a,32'h00001faa);
test.u_cmp(32'h00000060,32'h0000002a,32'h00000fc0);
test.u_cmp(32'h00000450,32'h0000005d,32'h00019110);
test.u_cmp(32'h00000228,32'h0000005d,32'h0000c888);
test.u_cmp(32'h00000014,32'h00000051,32'h00000654);
test.u_cmp(32'h7ffffff6,32'h00000051,32'h7ffffcd6);
test.u_cmp(32'h0000057b,32'h0000002b,32'h0000eba9);
test.u_cmp(32'h7ffffd42,32'h0000002b,32'h7fff8a16);
test.u_cmp(32'h000003a2,32'h00000062,32'h00016404);
test.u_cmp(32'h000001d1,32'h00000062,32'h0000b202);
test.u_cmp(32'h00000317,32'h00000038,32'h0000ad08);
test.u_cmp(32'h7ffffe74,32'h00000038,32'hffffa960);
test.u_cmp(32'h000004bb,32'h0000005a,32'h0001a9be);
test.u_cmp(32'h7ffffda2,32'h0000005a,32'hffff2af4);
test.u_cmp(32'h000002d1,32'h00000016,32'h00003df6);
test.u_cmp(32'h7ffffe97,32'h00000016,32'hffffe0fa);
test.u_cmp(32'h0000024c,32'h0000006d,32'h0000fa5c);
test.u_cmp(32'h00000126,32'h0000006d,32'h00007d2e);
test.u_cmp(32'h00000193,32'h00000073,32'h0000b509);
test.u_cmp(32'h7fffff36,32'h00000073,32'h7fffa542);
test.u_cmp(32'h00000165,32'h00000074,32'h0000a1c4);
test.u_cmp(32'h000000b2,32'h00000074,32'h000050a8);
test.u_cmp(32'h000003a6,32'h0000001d,32'h000069ce);
test.u_cmp(32'h000001d3,32'h0000001d,32'h000034e7);
test.u_cmp(32'h000000e9,32'h00000007,32'h0000065f);
test.u_cmp(32'h00000074,32'h00000007,32'h0000032c);
test.u_cmp(32'h0000003a,32'h0000002f,32'h00000aa6);
test.u_cmp(32'h7fffffe3,32'h0000002f,32'h7ffffaad);
test.u_cmp(32'h0000068e,32'h00000034,32'h000154d8);
test.u_cmp(32'h00000347,32'h00000034,32'h0000aa6c);
test.u_cmp(32'h0000035d,32'h00000065,32'h000153b1);
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
test.u_cmp(32'h000001d8,32'h00000071,32'h0000d058);
test.u_cmp(32'h7fffff14,32'h00000071,32'h7fff97d4);
test.u_cmp(32'h0000038a,32'h00000034,32'h0000b808);
test.u_cmp(32'h000001c5,32'h00000034,32'h00005c04);
test.u_cmp(32'h0000049e,32'h00000075,32'h00021c36);
test.u_cmp(32'h7ffffdb1,32'h00000075,32'h7ffef1e5);
test.u_cmp(32'h000006a8,32'h0000004a,32'h0001ec90);
test.u_cmp(32'h00000354,32'h0000004a,32'h0000f648);
test.u_cmp(32'h00000256,32'h0000003e,32'h000090d4);
test.u_cmp(32'h7ffffed5,32'h0000003e,32'hffffb796);
test.u_cmp(32'h00000615,32'h00000030,32'h000123f0);
test.u_cmp(32'h7ffffcf5,32'h00000030,32'hffff6df0);
test.u_cmp(32'h0000037b,32'h00000064,32'h00015c0c);
test.u_cmp(32'h7ffffe42,32'h00000064,32'hffff51c8);
test.u_cmp(32'h00000321,32'h00000019,32'h00004e39);
test.u_cmp(32'h00000190,32'h00000019,32'h00002710);
test.u_cmp(32'h00000238,32'h00000042,32'h00009270);
test.u_cmp(32'h7ffffee4,32'h00000042,32'hffffb6c8);
test.u_cmp(32'h000005f2,32'h00000001,32'h000005f2);
test.u_cmp(32'h7ffffd07,32'h00000001,32'h7ffffd07);
test.u_cmp(32'h000007fc,32'h0000003f,32'h0001f704);
test.u_cmp(32'h7ffffc02,32'h0000003f,32'h7fff047e);
test.u_cmp(32'h000001ff,32'h0000000f,32'h00001df1);
test.u_cmp(32'h7fffff00,32'h0000000f,32'h7ffff100);
test.u_cmp(32'h00000281,32'h00000045,32'h0000acc5);
test.u_cmp(32'h00000140,32'h00000045,32'h00005640);
test.u_cmp(32'h000005df,32'h0000002e,32'h00010e12);
test.u_cmp(32'h7ffffd10,32'h0000002e,32'hffff78e0);
test.u_cmp(32'h00000177,32'h0000000b,32'h0000101d);
test.u_cmp(32'h7fffff44,32'h0000000b,32'h7ffff7ec);
test.u_cmp(32'h000002a3,32'h0000006a,32'h0001177e);
test.u_cmp(32'h00000151,32'h0000006a,32'h00008b8a);
test.u_cmp(32'h00000357,32'h00000036,32'h0000b45a);
test.u_cmp(32'h7ffffe54,32'h00000036,32'hffffa5b8);
test.u_cmp(32'h000004ab,32'h0000005a,32'h0001a41e);
test.u_cmp(32'h00000255,32'h0000005a,32'h0000d1e2);
test.u_cmp(32'h000002d5,32'h00000016,32'h00003e4e);
test.u_cmp(32'h7ffffe95,32'h00000016,32'hffffe0ce);
test.u_cmp(32'h000000b5,32'h00000005,32'h00000389);
test.u_cmp(32'h7fffffa5,32'h00000005,32'h7ffffe39);
test.u_cmp(32'h000002d3,32'h00000047,32'h0000c885);
test.u_cmp(32'h7ffffe96,32'h00000047,32'h7fff9b9a);
test.u_cmp(32'h00000735,32'h00000046,32'h0001f87e);
test.u_cmp(32'h7ffffc65,32'h00000046,32'hffff039e);
test.u_cmp(32'h000000ce,32'h00000079,32'h0000615e);
test.u_cmp(32'h00000067,32'h00000079,32'h000030af);
test.u_cmp(32'h00000134,32'h00000076,32'h00008df8);
test.u_cmp(32'h7fffff66,32'h00000076,32'hffffb904);
test.u_cmp(32'h0000014d,32'h00000075,32'h00009831);
test.u_cmp(32'h000000a6,32'h00000075,32'h00004bde);
test.u_cmp(32'h00000154,32'h00000075,32'h00009b64);
test.u_cmp(32'h7fffff56,32'h00000075,32'h7fffb24e);
test.u_cmp(32'h000003ab,32'h00000033,32'h0000bb11);
test.u_cmp(32'h000001d5,32'h00000033,32'h00005d6f);
test.u_cmp(32'h0000066a,32'h0000001d,32'h0000ba02);
test.u_cmp(32'h00000335,32'h0000001d,32'h00005d01);
test.u_cmp(32'h000005e6,32'h00000050,32'h0001d7e0);
test.u_cmp(32'h000002f3,32'h00000050,32'h0000ebf0);
test.u_cmp(32'h00000286,32'h0000003c,32'h00009768);
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
test.u_cmp(32'h000004df,32'h00000059,32'h0001b187);
test.u_cmp(32'h7ffffd90,32'h00000059,32'h7fff2710);
test.u_cmp(32'h000002c8,32'h0000003a,32'h0000a150);
test.u_cmp(32'h00000164,32'h0000003a,32'h000050a8);
test.u_cmp(32'h00000632,32'h00000031,32'h00012f92);
test.u_cmp(32'h7ffffce7,32'h00000031,32'h7fff6837);
test.u_cmp(32'h00000374,32'h0000004c,32'h00010670);
test.u_cmp(32'h7ffffe46,32'h0000004c,32'hffff7cc8);
test.u_cmp(32'h0000075d,32'h00000045,32'h0001fc11);
test.u_cmp(32'h7ffffc51,32'h00000045,32'h7fff01d5);
test.u_cmp(32'h000000d8,32'h00000057,32'h00004968);
test.u_cmp(32'h7fffff94,32'h00000057,32'h7fffdb4c);
test.u_cmp(32'h000007b6,32'h00000042,32'h0001fcec);
test.u_cmp(32'h7ffffc25,32'h00000042,32'hffff018a);
test.u_cmp(32'h000000ee,32'h00000058,32'h000051d0);
test.u_cmp(32'h00000077,32'h00000058,32'h000028e8);
test.u_cmp(32'h00000544,32'h0000002a,32'h0000dd28);
test.u_cmp(32'h000002a2,32'h0000002a,32'h00006e94);
test.u_cmp(32'h000003af,32'h0000004e,32'h00011f52);
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
test.u_cmp(32'h00000594,32'h00000004,32'h00001650);
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
test.u_cmp(32'h0000051b,32'h00000079,32'h000269c3);
test.u_cmp(32'h7ffffd72,32'h00000079,32'h7ffecae2);
test.u_cmp(32'h000006c7,32'h00000067,32'h0002ba11);
test.u_cmp(32'h00000363,32'h00000067,32'h00015cd5);
test.u_cmp(32'h000004ce,32'h00000026,32'h0000b694);
test.u_cmp(32'h00000267,32'h00000026,32'h00005b4a);
test.u_cmp(32'h00000133,32'h00000027,32'h00002ec5);
test.u_cmp(32'h7fffff66,32'h00000027,32'h7fffe88a);
test.u_cmp(32'h000006cc,32'h00000036,32'h00016f08);
test.u_cmp(32'h00000366,32'h00000036,32'h0000b784);
test.u_cmp(32'h000001b3,32'h0000000d,32'h00001617);
test.u_cmp(32'h7fffff26,32'h0000000d,32'h7ffff4ee);
test.u_cmp(32'h00000294,32'h00000045,32'h0000b1e4);
test.u_cmp(32'h7ffffeb6,32'h00000045,32'h7fffa70e);
test.u_cmp(32'h000005db,32'h0000002e,32'h00010d5a);
test.u_cmp(32'h7ffffd12,32'h0000002e,32'hffff793c);
test.u_cmp(32'h00000176,32'h00000025,32'h0000360e);
test.u_cmp(32'h7fffff45,32'h00000025,32'h7fffe4f9);
test.u_cmp(32'h00000423,32'h00000072,32'h0001d796);
test.u_cmp(32'h00000211,32'h00000072,32'h0000eb92);
test.u_cmp(32'h00000689,32'h0000004b,32'h0001ea23);
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
test.u_cmp(32'h0000025d,32'h0000003e,32'h00009286);
test.u_cmp(32'h7ffffed1,32'h0000003e,32'hffffb69e);
test.u_cmp(32'h000004e9,32'h00000078,32'h00024d38);
test.u_cmp(32'h00000274,32'h00000078,32'h00012660);
test.u_cmp(32'h00000445,32'h0000000e,32'h00003bc6);
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
test.u_cmp(32'h00000791,32'h0000003c,32'h0001c5fc);
test.u_cmp(32'h7ffffc37,32'h0000003c,32'hffff1ce4);
test.u_cmp(32'h000001e4,32'h00000021,32'h00003e64);
test.u_cmp(32'h000000f2,32'h00000021,32'h00001f32);
test.u_cmp(32'h000006f9,32'h00000037,32'h00017f7f);
test.u_cmp(32'h7ffffc83,32'h00000037,32'h7fff4025);
test.u_cmp(32'h000001be,32'h00000023,32'h00003cfa);
test.u_cmp(32'h7fffff21,32'h00000023,32'h7fffe183);
test.u_cmp(32'h000006ef,32'h00000037,32'h00017d59);
test.u_cmp(32'h00000377,32'h00000037,32'h0000be91);
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
test.u_cmp(32'h000001a2,32'h00000065,32'h0000a4ea);
test.u_cmp(32'h0000032e,32'h00000037,32'h0000aee2);
test.u_cmp(32'h00000197,32'h00000037,32'h00005771);
test.u_cmp(32'h0000064b,32'h0000001e,32'h0000bcca);
test.u_cmp(32'h00000325,32'h0000001e,32'h00005e56);
test.u_cmp(32'h000005ee,32'h00000050,32'h0001da60);
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
test.u_cmp(32'h0000007c,32'h0000007c,32'h00003c10);
test.u_cmp(32'h7fffffc2,32'h0000007c,32'hffffe1f8);
test.u_cmp(32'h000003e1,32'h00000031,32'h0000be11);
test.u_cmp(32'h000001f0,32'h00000031,32'h00005ef0);
test.u_cmp(32'h00000488,32'h00000075,32'h00021228);
test.u_cmp(32'h00000244,32'h00000075,32'h00010914);
test.u_cmp(32'h000006a2,32'h0000004a,32'h0001ead4);
test.u_cmp(32'h00000351,32'h0000004a,32'h0000f56a);
test.u_cmp(32'h00000257,32'h00000012,32'h00002a1e);
test.u_cmp(32'h7ffffed4,32'h00000012,32'hffffeae8);
test.u_cmp(32'h0000026b,32'h0000006c,32'h00010524);
test.u_cmp(32'h00000135,32'h0000006c,32'h0000825c);
test.u_cmp(32'h0000019b,32'h00000073,32'h0000b8a1);
test.u_cmp(32'h7fffff32,32'h00000073,32'h7fffa376);
test.u_cmp(32'h00000167,32'h0000005c,32'h00008104);
test.u_cmp(32'h000000b3,32'h0000005c,32'h00004054);
test.u_cmp(32'h00000526,32'h00000007,32'h0000240a);
test.u_cmp(32'h00000293,32'h00000007,32'h00001205);
test.u_cmp(32'h000007c9,32'h0000003e,32'h0001e2ae);
test.u_cmp(32'h000003e4,32'h0000003e,32'h0000f138);
test.u_cmp(32'h0000030e,32'h00000049,32'h0000defe);
test.u_cmp(32'h00000187,32'h00000049,32'h00006f7f);
test.u_cmp(32'h00000744,32'h00000045,32'h0001f554);
test.u_cmp(32'h000003a2,32'h00000045,32'h0000faaa);
test.u_cmp(32'h0000022f,32'h00000011,32'h0000251f);
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
test.u_cmp(32'h0000008b,32'h00000004,32'h0000022c);
test.u_cmp(32'h00000045,32'h00000004,32'h00000114);
test.u_cmp(32'h000002de,32'h00000069,32'h00012d0e);
test.u_cmp(32'h7ffffe91,32'h00000069,32'h7fff6979);
test.u_cmp(32'h000001b8,32'h00000072,32'h0000c3f0);
test.u_cmp(32'h7fffff24,32'h00000072,32'hffff9e08);
test.u_cmp(32'h00000392,32'h00000034,32'h0000b9a8);
test.u_cmp(32'h7ffffe37,32'h00000034,32'hffffa32c);
test.u_cmp(32'h0000049c,32'h0000005b,32'h0001a374);
test.u_cmp(32'h7ffffdb2,32'h0000005b,32'h7fff2e46);
test.u_cmp(32'h000002d9,32'h00000016,32'h00003ea6);
test.u_cmp(32'h7ffffe93,32'h00000016,32'hffffe0a2);
test.u_cmp(32'h0000024a,32'h0000006d,32'h0000f982);
test.u_cmp(32'h00000125,32'h0000006d,32'h00007cc1);
test.u_cmp(32'h0000036d,32'h0000001b,32'h00005c7f);
test.u_cmp(32'h000001b6,32'h0000001b,32'h00002e32);
test.u_cmp(32'h00000225,32'h00000042,32'h00008d8a);
test.u_cmp(32'h00000112,32'h00000042,32'h000046a4);
test.u_cmp(32'h0000070a,32'h00000047,32'h0001f3c6);
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
test.u_cmp(32'h000000c3,32'h00000079,32'h00005c2b);
test.u_cmp(32'h00000061,32'h00000079,32'h00002dd9);
test.u_cmp(32'h00000131,32'h0000005a,32'h00006b3a);
test.u_cmp(32'h7fffff67,32'h0000005a,32'hffffca36);
test.u_cmp(32'h00000533,32'h00000029,32'h0000d52b);
test.u_cmp(32'h7ffffd66,32'h00000029,32'h7fff9556);
test.u_cmp(32'h0000014c,32'h0000000a,32'h00000cf8);
test.u_cmp(32'h000000a6,32'h0000000a,32'h0000067c);
test.u_cmp(32'h000002ad,32'h00000046,32'h0000bb4e);
test.u_cmp(32'h00000156,32'h00000046,32'h00005d84);
test.u_cmp(32'h0000072c,32'h00000046,32'h0001f608);
test.u_cmp(32'h00000396,32'h00000046,32'h0000fb04);
test.u_cmp(32'h00000235,32'h00000011,32'h00002585);
test.u_cmp(32'h7ffffee5,32'h00000011,32'h7fffed35);
test.u_cmp(32'h00000273,32'h0000006c,32'h00010884);
test.u_cmp(32'h7ffffec6,32'h0000006c,32'hffff7b88);
test.u_cmp(32'h00000363,32'h00000035,32'h0000b37f);
test.u_cmp(32'h000001b1,32'h00000035,32'h000059a5);
test.u_cmp(32'h00000658,32'h00000032,32'h00013d30);
test.u_cmp(32'h7ffffcd4,32'h00000032,32'hffff6168);
test.u_cmp(32'h0000036a,32'h00000064,32'h00015568);
test.u_cmp(32'h000001b5,32'h00000064,32'h0000aab4);
test.u_cmp(32'h00000325,32'h00000037,32'h0000acf3);
test.u_cmp(32'h00000192,32'h00000037,32'h0000565e);
test.u_cmp(32'h00000649,32'h0000001e,32'h0000bc8e);
test.u_cmp(32'h00000324,32'h0000001e,32'h00005e38);
test.u_cmp(32'h00000712,32'h00000038,32'h00018bf0);
test.u_cmp(32'h7ffffc77,32'h00000038,32'hffff3a08);
test.u_cmp(32'h000001c4,32'h00000022,32'h00003c08);
test.u_cmp(32'h000000e2,32'h00000022,32'h00001e04);
test.u_cmp(32'h000006f1,32'h00000037,32'h00017dc7);
test.u_cmp(32'h7ffffc87,32'h00000037,32'h7fff4101);
test.u_cmp(32'h000001bc,32'h00000023,32'h00003cb4);
test.u_cmp(32'h7fffff22,32'h00000023,32'h7fffe1a6);
test.u_cmp(32'h00000411,32'h0000005f,32'h0001824f);
test.u_cmp(32'h7ffffdf7,32'h0000005f,32'h7fff3ea9);
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
test.u_cmp(32'h0000063e,32'h00000031,32'h000131de);
test.u_cmp(32'h7ffffce1,32'h00000031,32'h7fff6711);
test.u_cmp(32'h0000018f,32'h0000000c,32'h000012b4);
test.u_cmp(32'h000000c7,32'h0000000c,32'h00000954);
test.u_cmp(32'h0000029d,32'h0000006b,32'h0001179f);
test.u_cmp(32'h7ffffeb1,32'h0000006b,32'h7fff73fb);
test.u_cmp(32'h00000358,32'h00000036,32'h0000b490);
test.u_cmp(32'h7ffffe54,32'h00000036,32'hffffa5b8);
test.u_cmp(32'h000004aa,32'h00000076,32'h0002265c);
test.u_cmp(32'h00000255,32'h00000076,32'h0001132e);
test.u_cmp(32'h00000455,32'h00000022,32'h0000934a);
test.u_cmp(32'h7ffffdd5,32'h00000022,32'hffffb64a);
test.u_cmp(32'h00000115,32'h00000028,32'h00002b48);
test.u_cmp(32'h7fffff75,32'h00000028,32'hffffea48);
test.u_cmp(32'h0000043b,32'h00000072,32'h0001e246);
test.u_cmp(32'h7ffffde2,32'h00000072,32'hffff0ea4);
test.u_cmp(32'h0000068f,32'h0000004b,32'h0001ebe5);
test.u_cmp(32'h00000347,32'h0000004b,32'h0000f5cd);
test.u_cmp(32'h000000a4,32'h0000007a,32'h00004e28);
test.u_cmp(32'h00000052,32'h0000007a,32'h00002714);
test.u_cmp(32'h00000129,32'h0000005a,32'h0000686a);
test.u_cmp(32'h00000094,32'h0000005a,32'h00003408);
test.u_cmp(32'h00000535,32'h00000007,32'h00002473);
test.u_cmp(32'h7ffffd65,32'h00000007,32'h7fffedc3);
test.u_cmp(32'h000007cd,32'h00000012,32'h00008c6a);
test.u_cmp(32'h000003e6,32'h00000012,32'h0000462c);
test.u_cmp(32'h00000773,32'h0000003b,32'h0001b781);
test.u_cmp(32'h7ffffc46,32'h0000003b,32'h7fff2422);
test.u_cmp(32'h000001dc,32'h0000000e,32'h00001a08);
test.u_cmp(32'h7fffff12,32'h0000000e,32'hfffff2fc);
test.u_cmp(32'h00000289,32'h0000006b,32'h00010f43);
test.u_cmp(32'h00000144,32'h0000006b,32'h0000876c);
test.u_cmp(32'h0000035d,32'h00000036,32'h0000b59e);
test.u_cmp(32'h7ffffe51,32'h00000036,32'hffffa516);
test.u_cmp(32'h000004a9,32'h0000005a,32'h0001a36a);
test.u_cmp(32'h00000254,32'h0000005a,32'h0000d188);
test.u_cmp(32'h000002d5,32'h0000003a,32'h0000a442);
test.u_cmp(32'h7ffffe95,32'h0000003a,32'hffffadc2);
test.u_cmp(32'h000004cb,32'h00000077,32'h00023a5d);
test.u_cmp(32'h00000265,32'h00000077,32'h00011cf3);
test.u_cmp(32'h000006b3,32'h0000004a,32'h0001efbe);
test.u_cmp(32'h7ffffca6,32'h0000004a,32'hffff07fc);
test.u_cmp(32'h000000ad,32'h0000007a,32'h00005272);
test.u_cmp(32'h00000056,32'h0000007a,32'h000028fc);
test.u_cmp(32'h000003d4,32'h00000032,32'h0000bf68);
test.u_cmp(32'h7ffffe16,32'h00000032,32'hffffa04c);
test.u_cmp(32'h0000048b,32'h0000005b,32'h00019d69);
test.u_cmp(32'h00000245,32'h0000005b,32'h0000ce87);
test.u_cmp(32'h00000023,32'h00000052,32'h00000b36);
test.u_cmp(32'h00000011,32'h00000052,32'h00000572);
test.u_cmp(32'h00000789,32'h00000043,32'h0001f8db);
test.u_cmp(32'h000003c4,32'h00000043,32'h0000fc4c);
test.u_cmp(32'h000000e3,32'h00000078,32'h00006a68);
test.u_cmp(32'h00000071,32'h00000078,32'h000034f8);
test.u_cmp(32'h000003c7,32'h00000032,32'h0000bcde);
test.u_cmp(32'h000001e3,32'h00000032,32'h00005e56);
test.u_cmp(32'h00000671,32'h0000001d,32'h0000bacd);
test.u_cmp(32'h7ffffcc7,32'h0000001d,32'h7fffa28b);
test.u_cmp(32'h000005e4,32'h00000050,32'h0001d740);
test.u_cmp(32'h000002f2,32'h00000050,32'h0000eba0);
test.u_cmp(32'h00000287,32'h0000003c,32'h000097a4);
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
test.u_cmp(32'h000004df,32'h00000059,32'h0001b187);
test.u_cmp(32'h7ffffd90,32'h00000059,32'h7fff2710);
test.u_cmp(32'h00000038,32'h00000052,32'h000011f0);
test.u_cmp(32'h7fffffe4,32'h00000052,32'hfffff708);
test.u_cmp(32'h0000078e,32'h00000043,32'h0001fa2a);
test.u_cmp(32'h000003c7,32'h00000043,32'h0000fd15);
test.u_cmp(32'h0000021c,32'h00000040,32'h00008700);
test.u_cmp(32'h7ffffef2,32'h00000040,32'hffffbc80);
test.u_cmp(32'h000004f9,32'h00000058,32'h0001b598);
test.u_cmp(32'h7ffffd83,32'h00000058,32'hffff2508);
test.u_cmp(32'h0000003f,32'h0000007e,32'h00001f02);
test.u_cmp(32'h7fffffe0,32'h0000007e,32'hfffff040);
test.u_cmp(32'h000003f0,32'h00000031,32'h0000c0f0);
test.u_cmp(32'h7ffffe08,32'h00000031,32'h7fff9f88);
test.u_cmp(32'h0000067c,32'h0000001d,32'h0000bc0c);
test.u_cmp(32'h7ffffcc2,32'h0000001d,32'h7fffa1fa);
test.u_cmp(32'h000005e1,32'h00000080,32'h0002f080);
test.u_cmp(32'h000002f0,32'h00000080,32'h00017800);
test.u_cmp(32'h000006f9,32'h00000048,32'h0001f608);
test.u_cmp(32'h7ffffc83,32'h00000048,32'hffff04d8);
test.u_cmp(32'h000000bf,32'h0000007a,32'h00005b06);
test.u_cmp(32'h7fffffa0,32'h0000007a,32'hffffd240);
test.u_cmp(32'h000003d0,32'h0000001e,32'h00007260);
test.u_cmp(32'h7ffffe18,32'h0000001e,32'hffffc6d0);
test.u_cmp(32'h000000f4,32'h00000007,32'h000006ac);
test.u_cmp(32'h7fffff86,32'h00000007,32'h7ffffcaa);
test.u_cmp(32'h000002c3,32'h00000047,32'h0000c415);
test.u_cmp(32'h00000161,32'h00000047,32'h000061e7);
test.u_cmp(32'h00000731,32'h00000046,32'h0001f766);
test.u_cmp(32'h7ffffc67,32'h00000046,32'hffff042a);
test.u_cmp(32'h000000cd,32'h00000079,32'h000060e5);
test.u_cmp(32'h00000066,32'h00000079,32'h00003036);
test.u_cmp(32'h000003cc,32'h0000001e,32'h000071e8);
test.u_cmp(32'h000001e6,32'h0000001e,32'h000038f4);
test.u_cmp(32'h000000f3,32'h00000007,32'h000006a5);
test.u_cmp(32'h7fffff86,32'h00000007,32'h7ffffcaa);
test.u_cmp(32'h0000003c,32'h0000002f,32'h00000b04);
test.u_cmp(32'h7fffffe2,32'h0000002f,32'h7ffffa7e);
test.u_cmp(32'h0000068f,32'h0000001c,32'h0000b7a4);
test.u_cmp(32'h00000347,32'h0000001c,32'h00005bc4);
test.u_cmp(32'h00000723,32'h00000017,32'h0000a425);
test.u_cmp(32'h00000391,32'h00000017,32'h00005207);
test.u_cmp(32'h000005b8,32'h0000007e,32'h0002d090);
test.u_cmp(32'h7ffffd24,32'h0000007e,32'hfffe97b8);
test.u_cmp(32'h000006ee,32'h00000068,32'h0002d0b0);
test.u_cmp(32'h00000377,32'h00000068,32'h00016858);
test.u_cmp(32'h0000063c,32'h0000004e,32'h0001e648);
test.u_cmp(32'h7ffffce2,32'h0000004e,32'hffff0cdc);
test.u_cmp(32'h00000271,32'h0000003d,32'h000094ed);
test.u_cmp(32'h7ffffec7,32'h0000003d,32'h7fffb56b);
test.u_cmp(32'h0000061c,32'h00000020,32'h0000c380);
test.u_cmp(32'h7ffffcf2,32'h00000020,32'hffff9e40);
test.u_cmp(32'h00000707,32'h00000038,32'h00018988);
test.u_cmp(32'h00000383,32'h00000038,32'h0000c4a8);
test.u_cmp(32'h000001c1,32'h00000022,32'h00003ba2);
test.u_cmp(32'h000000e0,32'h00000022,32'h00001dc0);
test.u_cmp(32'h000006f0,32'h00000037,32'h00017d90);
test.u_cmp(32'h7ffffc88,32'h00000037,32'h7fff4138);
test.u_cmp(32'h000001bc,32'h00000023,32'h00003cb4);
test.u_cmp(32'h7fffff22,32'h00000023,32'h7fffe1a6);
test.u_cmp(32'h000006ef,32'h00000019,32'h0000ad57);
test.u_cmp(32'h00000377,32'h00000019,32'h0000569f);
test.u_cmp(32'h0000073b,32'h00000039,32'h00019c23);
test.u_cmp(32'h7ffffc62,32'h00000039,32'h7fff31d2);
test.u_cmp(32'h000001ce,32'h0000000e,32'h00001944);
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
test.u_cmp(32'h0000028d,32'h00000045,32'h0000b001);
test.u_cmp(32'h00000146,32'h00000045,32'h000057de);
test.u_cmp(32'h00000724,32'h00000046,32'h0001f3d8);
test.u_cmp(32'h00000392,32'h00000046,32'h0000f9ec);
test.u_cmp(32'h00000237,32'h00000011,32'h000025a7);
test.u_cmp(32'h7ffffee4,32'h00000011,32'h7fffed24);
test.u_cmp(32'h0000008d,32'h0000002c,32'h0000183c);
test.u_cmp(32'h00000046,32'h0000002c,32'h00000c08);
test.u_cmp(32'h000006a3,32'h0000001b,32'h0000b331);
test.u_cmp(32'h00000351,32'h0000001b,32'h0000598b);
test.u_cmp(32'h000005d8,32'h0000007f,32'h0002e628);
test.u_cmp(32'h7ffffd14,32'h0000007f,32'h7ffe8cec);
test.u_cmp(32'h000006f6,32'h00000068,32'h0002d3f0);
test.u_cmp(32'h7ffffc85,32'h00000068,32'hfffe9608);
test.u_cmp(32'h0000063e,32'h0000004e,32'h0001e6e4);
test.u_cmp(32'h7ffffce1,32'h0000004e,32'hffff0c8e);
test.u_cmp(32'h00000090,32'h0000007b,32'h00004530);
test.u_cmp(32'h00000048,32'h0000007b,32'h00002298);
test.u_cmp(32'h00000124,32'h0000005a,32'h000066a8);
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
test.u_cmp(32'h00000537,32'h00000007,32'h00002481);
test.u_cmp(32'h7ffffd64,32'h00000007,32'h7fffedbc);
test.u_cmp(32'h000007cd,32'h0000003e,32'h0001e3a6);
test.u_cmp(32'h000003e6,32'h0000003e,32'h0000f1b4);
test.u_cmp(32'h000001f3,32'h00000021,32'h00004053);
test.u_cmp(32'h7fffff06,32'h00000021,32'h7fffdfc6);
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
test.u_cmp(32'h000005c1,32'h00000051,32'h0001d211);
test.u_cmp(32'h000002e0,32'h00000051,32'h0000e8e0);
test.u_cmp(32'h00000071,32'h00000054,32'h00002514);
test.u_cmp(32'h7fffffc7,32'h00000054,32'hffffed4c);
test.u_cmp(32'h0000079d,32'h0000006d,32'h00033dd9);
test.u_cmp(32'h7ffffc31,32'h0000006d,32'h7ffe60dd);
test.u_cmp(32'h00000498,32'h00000024,32'h0000a560);
test.u_cmp(32'h7ffffdb4,32'h00000024,32'hffffad50);
test.u_cmp(32'h000003da,32'h0000004f,32'h00013046);
test.u_cmp(32'h7ffffe13,32'h0000004f,32'h7fff67dd);
test.u_cmp(32'h00000777,32'h0000006c,32'h00032634);
test.u_cmp(32'h7ffffc44,32'h0000006c,32'hfffe6cb0);
test.u_cmp(32'h0000065e,32'h0000004d,32'h0001ea46);
test.u_cmp(32'h7ffffcd1,32'h0000004d,32'h7fff0add);
test.u_cmp(32'h00000098,32'h0000007b,32'h00004908);
test.u_cmp(32'h7fffffb4,32'h0000007b,32'h7fffdb7c);
test.u_cmp(32'h000003da,32'h00000032,32'h0000c094);
test.u_cmp(32'h7ffffe13,32'h00000032,32'hffff9fb6);
test.u_cmp(32'h00000676,32'h00000033,32'h00014982);
test.u_cmp(32'h7ffffcc5,32'h00000033,32'h7fff5b3f);
test.u_cmp(32'h0000019d,32'h00000024,32'h00003a14);
test.u_cmp(32'h7fffff31,32'h00000024,32'hffffe2e4);
test.u_cmp(32'h000006e7,32'h00000019,32'h0000ac8f);
test.u_cmp(32'h00000373,32'h00000019,32'h0000563b);
test.u_cmp(32'h00000739,32'h00000017,32'h0000a61f);
test.u_cmp(32'h7ffffc63,32'h00000017,32'h7ffface5);
test.u_cmp(32'h0000074e,32'h0000003a,32'h0001a7ac);
test.u_cmp(32'h000003a7,32'h0000003a,32'h0000d3d6);
test.u_cmp(32'h000001d3,32'h0000000e,32'h0000198a);
test.u_cmp(32'h7fffff16,32'h0000000e,32'hfffff334);
test.u_cmp(32'h0000028c,32'h0000006b,32'h00011084);
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
test.u_cmp(32'h0000035d,32'h0000001a,32'h00005772);
test.u_cmp(32'h7ffffe51,32'h0000001a,32'hffffd43a);
test.u_cmp(32'h00000229,32'h00000042,32'h00008e92);
test.u_cmp(32'h00000114,32'h00000042,32'h00004728);
test.u_cmp(32'h0000070b,32'h00000069,32'h0002e383);
test.u_cmp(32'h00000385,32'h00000069,32'h0001718d);
test.u_cmp(32'h000004bd,32'h00000025,32'h0000af51);
test.u_cmp(32'h7ffffda1,32'h00000025,32'h7fffa845);
test.u_cmp(32'h000003d1,32'h00000061,32'h00017231);
test.u_cmp(32'h7ffffe17,32'h00000061,32'h7fff46b7);
test.u_cmp(32'h0000030b,32'h00000038,32'h0000aa68);
test.u_cmp(32'h00000185,32'h00000038,32'h00005518);
test.u_cmp(32'h00000642,32'h0000001e,32'h0000bbbc);
test.u_cmp(32'h00000321,32'h0000001e,32'h00005dde);
test.u_cmp(32'h000005f0,32'h00000080,32'h0002f800);
test.u_cmp(32'h000002f8,32'h00000080,32'h00017c00);
test.u_cmp(32'h00000404,32'h00000010,32'h00004040);
test.u_cmp(32'h00000202,32'h00000010,32'h00002020);
test.u_cmp(32'h00000781,32'h0000003c,32'h0001c23c);
test.u_cmp(32'h000003c0,32'h0000003c,32'h0000e100);
test.u_cmp(32'h000001e0,32'h00000021,32'h00003de0);
test.u_cmp(32'h000000f0,32'h00000021,32'h00001ef0);
test.u_cmp(32'h00000408,32'h0000005f,32'h00017ef8);
test.u_cmp(32'h00000204,32'h0000005f,32'h0000bf7c);
test.u_cmp(32'h00000002,32'h0000007f,32'h000000fe);
test.u_cmp(32'h00000001,32'h0000007f,32'h0000007f);
test.u_cmp(32'h000003ff,32'h00000031,32'h0000c3cf);
test.u_cmp(32'h7ffffe00,32'h00000031,32'h7fff9e00);
test.u_cmp(32'h00000481,32'h0000005b,32'h000199db);
test.u_cmp(32'h00000240,32'h0000005b,32'h0000ccc0);
test.u_cmp(32'h00000021,32'h0000007e,32'h0000103e);
test.u_cmp(32'h00000010,32'h0000007e,32'h000007e0);
test.u_cmp(32'h00000109,32'h00000059,32'h00005c21);
test.u_cmp(32'h00000084,32'h00000059,32'h00002de4);
test.u_cmp(32'h0000053d,32'h00000029,32'h0000d6c5);
test.u_cmp(32'h7ffffd61,32'h00000029,32'h7fff9489);
test.u_cmp(32'h0000014f,32'h0000000a,32'h00000d16);
test.u_cmp(32'h000000a7,32'h0000000a,32'h00000686);
test.u_cmp(32'h00000053,32'h0000002e,32'h00000eea);
test.u_cmp(32'h7fffffd6,32'h0000002e,32'hfffff874);
test.u_cmp(32'h0000046c,32'h00000074,32'h000200f0);
test.u_cmp(32'h00000236,32'h00000074,32'h00010078);
test.u_cmp(32'h0000069b,32'h00000065,32'h00029b27);
test.u_cmp(32'h7ffffcb2,32'h00000065,32'h7ffeb23a);
test.u_cmp(32'h00000627,32'h00000062,32'h00025aee);
test.u_cmp(32'h00000313,32'h00000062,32'h00012d46);
test.u_cmp(32'h000004f6,32'h00000009,32'h00002ca6);
test.u_cmp(32'h7ffffd85,32'h00000009,32'h7fffe9ad);
test.u_cmp(32'h000007bd,32'h00000013,32'h00009307);
test.u_cmp(32'h7ffffc21,32'h00000013,32'h7fffb673);
test.u_cmp(32'h0000076f,32'h00000015,32'h00009c1b);
test.u_cmp(32'h000003b7,32'h00000015,32'h00004e03);
test.u_cmp(32'h0000075b,32'h00000016,32'h0000a1d2);
test.u_cmp(32'h7ffffc52,32'h00000016,32'hffffaf0c);
test.u_cmp(32'h00000756,32'h00000016,32'h0000a164);
test.u_cmp(32'h7ffffc55,32'h00000016,32'hffffaf4e);
test.u_cmp(32'h000005ab,32'h00000052,32'h0001d0c6);
test.u_cmp(32'h000002d5,32'h00000052,32'h0000e83a);
test.u_cmp(32'h0000006b,32'h0000007c,32'h000033d4);
test.u_cmp(32'h00000035,32'h0000007c,32'h000019ac);
test.u_cmp(32'h0000011b,32'h00000059,32'h00006263);
test.u_cmp(32'h7fffff72,32'h00000059,32'h7fffcea2);
test.u_cmp(32'h000007c7,32'h00000041,32'h0001f987);
test.u_cmp(32'h000003e3,32'h00000041,32'h0000fca3);
test.u_cmp(32'h0000020e,32'h00000040,32'h00008380);
test.u_cmp(32'h00000107,32'h00000040,32'h000041c0);
test.u_cmp(32'h000004fd,32'h00000078,32'h00025698);
test.u_cmp(32'h7ffffd81,32'h00000078,32'hfffed478);
test.u_cmp(32'h00000440,32'h0000000e,32'h00003b80);
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
test.u_cmp(32'h00000570,32'h00000054,32'h0001c8c0);
test.u_cmp(32'h000002b8,32'h00000054,32'h0000e460);
test.u_cmp(32'h0000005c,32'h0000007d,32'h00002cec);
test.u_cmp(32'h7fffffd2,32'h0000007d,32'h7fffe98a);
test.u_cmp(32'h00000117,32'h00000059,32'h000060ff);
test.u_cmp(32'h7fffff74,32'h00000059,32'h7fffcf54);
test.u_cmp(32'h0000053a,32'h00000007,32'h00002496);
test.u_cmp(32'h7ffffd63,32'h00000007,32'h7fffedb5);
test.u_cmp(32'h00000532,32'h00000056,32'h0001becc);
test.u_cmp(32'h7ffffd67,32'h00000056,32'hffff209a);
test.u_cmp(32'h000002b3,32'h0000003b,32'h00009f41);
test.u_cmp(32'h7ffffea6,32'h0000003b,32'h7fffb042);
test.u_cmp(32'h000004d4,32'h00000059,32'h0001adb4);
test.u_cmp(32'h7ffffd96,32'h00000059,32'h7fff2926);
test.u_cmp(32'h000002cb,32'h00000016,32'h00003d72);
test.u_cmp(32'h00000165,32'h00000016,32'h00001eae);
test.u_cmp(32'h0000024e,32'h0000006d,32'h0000fb36);
test.u_cmp(32'h00000127,32'h0000006d,32'h00007d9b);
test.u_cmp(32'h00000194,32'h0000005d,32'h000092c4);
test.u_cmp(32'h7fffff36,32'h0000005d,32'h7fffb69e);
test.u_cmp(32'h000007e5,32'h00000070,32'h00037430);
test.u_cmp(32'h000003f2,32'h00000070,32'h0001b9e0);
test.u_cmp(32'h0000067a,32'h00000064,32'h000287a8);
test.u_cmp(32'h7ffffcc3,32'h00000064,32'hfffebc2c);
test.u_cmp(32'h0000061f,32'h0000004f,32'h0001e391);
test.u_cmp(32'h7ffffcf0,32'h0000004f,32'h7fff0e10);
test.u_cmp(32'h00000278,32'h00000013,32'h00002ee8);
test.u_cmp(32'h7ffffec4,32'h00000013,32'h7fffe88c);
test.u_cmp(32'h00000262,32'h00000044,32'h0000a208);
test.u_cmp(32'h00000131,32'h00000044,32'h00005104);
test.u_cmp(32'h000005e7,32'h00000001,32'h000005e7);
test.u_cmp(32'h000002f3,32'h00000001,32'h000002f3);
test.u_cmp(32'h000007f9,32'h0000003f,32'h0001f647);
test.u_cmp(32'h7ffffc03,32'h0000003f,32'h7fff04bd);
test.u_cmp(32'h000001fe,32'h00000021,32'h000041be);
test.u_cmp(32'h7fffff01,32'h00000021,32'h7fffdf21);
test.u_cmp(32'h000006ff,32'h00000037,32'h000180c9);
test.u_cmp(32'h7ffffc80,32'h00000037,32'h7fff3f80);
test.u_cmp(32'h00000341,32'h0000004b,32'h0000f40b);
test.u_cmp(32'h000001a0,32'h0000004b,32'h000079e0);
test.u_cmp(32'h00000751,32'h00000045,32'h0001f8d5);
test.u_cmp(32'h7ffffc57,32'h00000045,32'h7fff0373);
test.u_cmp(32'h000000d5,32'h00000057,32'h00004863);
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
test.u_cmp(32'h0000054a,32'h00000006,32'h00001fbc);
test.u_cmp(32'h000002a5,32'h00000006,32'h00000fde);
test.u_cmp(32'h0000052e,32'h0000007a,32'h000277ec);
test.u_cmp(32'h00000297,32'h0000007a,32'h00013bf6);
test.u_cmp(32'h000006cc,32'h00000067,32'h0002bc14);
test.u_cmp(32'h00000366,32'h00000067,32'h00015e0a);
test.u_cmp(32'h000004cd,32'h0000000a,32'h00003002);
test.u_cmp(32'h00000266,32'h0000000a,32'h000017fc);
test.u_cmp(32'h000007b3,32'h00000013,32'h00009249);
test.u_cmp(32'h7ffffc26,32'h00000013,32'h7fffb6d2);
test.u_cmp(32'h00000594,32'h0000007d,32'h0002b944);
test.u_cmp(32'h7ffffd36,32'h0000007d,32'h7ffea35e);
test.u_cmp(32'h0000041b,32'h00000010,32'h000041b0);
test.u_cmp(32'h7ffffdf2,32'h00000010,32'hffffdf20);
test.u_cmp(32'h00000786,32'h00000014,32'h00009678);
test.u_cmp(32'h000003c3,32'h00000014,32'h00004b3c);
test.u_cmp(32'h00000761,32'h00000015,32'h00009af5);
test.u_cmp(32'h000003b0,32'h00000015,32'h00004d70);
test.u_cmp(32'h00000758,32'h00000016,32'h0000a190);
test.u_cmp(32'h7ffffc54,32'h00000016,32'hffffaf38);
test.u_cmp(32'h00000756,32'h0000003a,32'h0001a97c);
test.u_cmp(32'h7ffffc55,32'h0000003a,32'hffff2b42);
test.u_cmp(32'h0000032b,32'h0000004a,32'h0000ea6e);
test.u_cmp(32'h00000195,32'h0000004a,32'h00007512);
test.u_cmp(32'h000005b5,32'h0000002d,32'h000100d1);
test.u_cmp(32'h7ffffd25,32'h0000002d,32'h7fff7f81);
test.u_cmp(32'h0000016d,32'h00000025,32'h000034c1);
test.u_cmp(32'h000000b6,32'h00000025,32'h00001a4e);
test.u_cmp(32'h00000425,32'h0000005e,32'h00018596);
test.u_cmp(32'h00000212,32'h0000005e,32'h0000c29c);
test.u_cmp(32'h0000000a,32'h00000051,32'h0000032a);
test.u_cmp(32'h00000005,32'h00000051,32'h00000195);
test.u_cmp(32'h00000783,32'h00000043,32'h0001f749);
test.u_cmp(32'h000003c1,32'h00000043,32'h0000fb83);
test.u_cmp(32'h000000e1,32'h00000058,32'h00004d58);
test.u_cmp(32'h00000070,32'h00000058,32'h00002680);
test.u_cmp(32'h00000547,32'h00000006,32'h00001faa);
test.u_cmp(32'h000002a3,32'h00000006,32'h00000fd2);
test.u_cmp(32'h0000052f,32'h0000007a,32'h00027866);
test.u_cmp(32'h00000297,32'h0000007a,32'h00013bf6);
test.u_cmp(32'h000006cc,32'h00000067,32'h0002bc14);
test.u_cmp(32'h00000366,32'h00000067,32'h00015e0a);
test.u_cmp(32'h000004cd,32'h0000000a,32'h00003002);
test.u_cmp(32'h00000266,32'h0000000a,32'h000017fc);
test.u_cmp(32'h0000054d,32'h00000055,32'h0001c291);
test.u_cmp(32'h000002a6,32'h00000055,32'h0000e11e);
test.u_cmp(32'h000002ac,32'h0000003b,32'h00009da4);
test.u_cmp(32'h00000156,32'h0000003b,32'h00004ed2);
test.u_cmp(32'h000004d5,32'h00000059,32'h0001ae0d);
test.u_cmp(32'h7ffffd95,32'h00000059,32'h7fff28cd);
test.u_cmp(32'h00000036,32'h00000052,32'h0000114c);
test.u_cmp(32'h7fffffe5,32'h00000052,32'hfffff75a);
test.u_cmp(32'h00000572,32'h00000005,32'h00001b3a);
test.u_cmp(32'h7ffffd47,32'h00000005,32'h7ffff263);
test.u_cmp(32'h00000524,32'h00000056,32'h0001ba18);
test.u_cmp(32'h00000292,32'h00000056,32'h0000dd0c);
test.u_cmp(32'h00000049,32'h0000007d,32'h000023a5);
test.u_cmp(32'h00000024,32'h0000007d,32'h00001194);
test.u_cmp(32'h00000113,32'h00000077,32'h00007fd5);
test.u_cmp(32'h7fffff76,32'h00000077,32'h7fffbfda);
test.u_cmp(32'h000003bb,32'h0000001d,32'h00006c2f);
test.u_cmp(32'h7ffffe22,32'h0000001d,32'h7fffc9da);
test.u_cmp(32'h000000ee,32'h00000029,32'h0000261e);
test.u_cmp(32'h00000077,32'h00000029,32'h0000130f);
test.u_cmp(32'h00000445,32'h0000005d,32'h00018d11);
test.u_cmp(32'h00000222,32'h0000005d,32'h0000c65a);
test.u_cmp(32'h00000012,32'h00000051,32'h000005b2);
test.u_cmp(32'h7ffffff7,32'h00000051,32'h7ffffd27);
test.u_cmp(32'h00000785,32'h00000043,32'h0001f7cf);
test.u_cmp(32'h000003c2,32'h00000043,32'h0000fbc6);
test.u_cmp(32'h000000e2,32'h00000058,32'h00004db0);
test.u_cmp(32'h00000071,32'h00000058,32'h000026d8);
test.u_cmp(32'h00000547,32'h0000002a,32'h0000dda6);
test.u_cmp(32'h000002a3,32'h0000002a,32'h00006ebe);
test.u_cmp(32'h000003af,32'h0000004e,32'h00011f52);
test.u_cmp(32'h000001d7,32'h0000004e,32'h00008f82);
test.u_cmp(32'h0000076c,32'h00000044,32'h0001f8b0);
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
test.u_cmp(32'h000000db,32'h00000079,32'h00006783);
test.u_cmp(32'h7fffff92,32'h00000079,32'h7fffcc02);
test.u_cmp(32'h000003c9,32'h00000032,32'h0000bd42);
test.u_cmp(32'h000001e4,32'h00000032,32'h00005e88);
test.u_cmp(32'h00000672,32'h00000033,32'h000148b6);
test.u_cmp(32'h7ffffcc7,32'h00000033,32'h7fff5ba5);
test.u_cmp(32'h00000364,32'h00000064,32'h00015310);
test.u_cmp(32'h000001b2,32'h00000064,32'h0000a988);
test.u_cmp(32'h000001d9,32'h0000005f,32'h0000af87);
test.u_cmp(32'h7fffff13,32'h0000005f,32'h7fffa80d);
test.u_cmp(32'h00000509,32'h00000008,32'h00002848);
test.u_cmp(32'h00000284,32'h00000008,32'h00001420);
test.u_cmp(32'h000007c2,32'h0000003e,32'h0001e0fc);
test.u_cmp(32'h000003e1,32'h0000003e,32'h0000f07e);
test.u_cmp(32'h00000310,32'h00000067,32'h00013b70);
test.u_cmp(32'h00000188,32'h00000067,32'h00009db8);
test.u_cmp(32'h000001c4,32'h00000071,32'h0000c784);
test.u_cmp(32'h000000e2,32'h00000071,32'h000063c2);
test.u_cmp(32'h0000038f,32'h0000001c,32'h000063a4);
test.u_cmp(32'h000001c7,32'h0000001c,32'h000031c4);
test.u_cmp(32'h000000e3,32'h00000029,32'h0000245b);
test.u_cmp(32'h00000071,32'h00000029,32'h00001219);
test.u_cmp(32'h00000448,32'h0000005d,32'h00018e28);
test.u_cmp(32'h00000224,32'h0000005d,32'h0000c714);
test.u_cmp(32'h000002ee,32'h00000017,32'h00004362);
test.u_cmp(32'h00000177,32'h00000017,32'h000021b1);
test.u_cmp(32'h00000245,32'h00000043,32'h0000980f);
test.u_cmp(32'h00000122,32'h00000043,32'h00004be6);
test.u_cmp(32'h00000712,32'h00000047,32'h0001f5fe);
test.u_cmp(32'h7ffffc77,32'h00000047,32'h7fff0501);
test.u_cmp(32'h000000c5,32'h00000079,32'h00005d1d);
test.u_cmp(32'h00000062,32'h00000079,32'h00002e52);
test.u_cmp(32'h000003ce,32'h0000001e,32'h00007224);
test.u_cmp(32'h000001e7,32'h0000001e,32'h00003912);
test.u_cmp(32'h0000020d,32'h00000041,32'h0000854d);
test.u_cmp(32'h00000106,32'h00000041,32'h00004286);
test.u_cmp(32'h00000704,32'h00000069,32'h0002e0a4);
test.u_cmp(32'h00000382,32'h00000069,32'h00017052);
test.u_cmp(32'h00000641,32'h0000004d,32'h0001e18d);
test.u_cmp(32'h00000320,32'h0000004d,32'h0000f0a0);
test.u_cmp(32'h00000091,32'h0000007b,32'h000045ab);
test.u_cmp(32'h7fffffb7,32'h0000007b,32'h7fffdced);
test.u_cmp(32'h00000125,32'h0000005a,32'h00006702);
test.u_cmp(32'h00000092,32'h0000005a,32'h00003354);
test.u_cmp(32'h000007ca,32'h0000006f,32'h00036096);
test.u_cmp(32'h000003e5,32'h0000006f,32'h0001b04b);
test.u_cmp(32'h0000048d,32'h0000000c,32'h0000369c);
test.u_cmp(32'h00000246,32'h0000000c,32'h00001b48);
test.u_cmp(32'h000007a3,32'h0000003d,32'h0001d1d7);
test.u_cmp(32'h000003d1,32'h0000003d,32'h0000e8cd);
test.u_cmp(32'h00000318,32'h00000067,32'h00013ea8);
test.u_cmp(32'h7ffffe74,32'h00000067,32'h7fff60ac);
test.u_cmp(32'h000001c6,32'h0000005f,32'h0000a87a);
test.u_cmp(32'h000000e3,32'h0000005f,32'h0000543d);
test.u_cmp(32'h0000050e,32'h00000008,32'h00002870);
test.u_cmp(32'h00000287,32'h00000008,32'h00001438);
test.u_cmp(32'h000007c3,32'h0000003e,32'h0001e13a);
test.u_cmp(32'h000003e1,32'h0000003e,32'h0000f07e);
test.u_cmp(32'h000001f0,32'h00000021,32'h00003ff0);
test.u_cmp(32'h7fffff08,32'h00000021,32'h7fffe008);
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
test.u_cmp(32'h0000073f,32'h00000017,32'h0000a6a9);
test.u_cmp(32'h7ffffc60,32'h00000017,32'h7fffaca0);
test.u_cmp(32'h0000074f,32'h0000003a,32'h0001a7e6);
test.u_cmp(32'h000003a7,32'h0000003a,32'h0000d3d6);
test.u_cmp(32'h0000032d,32'h00000066,32'h000143ee);
test.u_cmp(32'h00000196,32'h00000066,32'h0000a1c4);
test.u_cmp(32'h00000334,32'h00000037,32'h0000b02c);
test.u_cmp(32'h7ffffe66,32'h00000037,32'h7fffa7ea);
test.u_cmp(32'h0000064d,32'h00000032,32'h00013b0a);
test.u_cmp(32'h00000326,32'h00000032,32'h00009d6c);
test.u_cmp(32'h00000193,32'h0000000c,32'h000012e4);
test.u_cmp(32'h7fffff36,32'h0000000c,32'hfffff688);
test.u_cmp(32'h0000029c,32'h00000045,32'h0000b40c);
test.u_cmp(32'h7ffffeb2,32'h00000045,32'h7fffa5fa);
test.u_cmp(32'h000005d9,32'h00000002,32'h00000bb2);
test.u_cmp(32'h7ffffd13,32'h00000002,32'hfffffa26);
test.u_cmp(32'h000007f6,32'h0000003f,32'h0001f58a);
test.u_cmp(32'h7ffffc05,32'h0000003f,32'h7fff053b);
test.u_cmp(32'h000001fd,32'h0000000f,32'h00001dd3);
test.u_cmp(32'h7fffff01,32'h0000000f,32'h7ffff10f);
test.u_cmp(32'h0000007f,32'h0000002d,32'h00001653);
test.u_cmp(32'h7fffffc0,32'h0000002d,32'h7ffff4c0);
test.u_cmp(32'h0000069f,32'h0000001c,32'h0000b964);
test.u_cmp(32'h7ffffcb0,32'h0000001c,32'hffffa340);
test.u_cmp(32'h00000727,32'h00000017,32'h0000a481);
test.u_cmp(32'h00000393,32'h00000017,32'h00005235);
test.u_cmp(32'h00000749,32'h0000003a,32'h0001a68a);
test.u_cmp(32'h000003a4,32'h0000003a,32'h0000d328);
test.u_cmp(32'h000001d2,32'h00000022,32'h00003de4);
test.u_cmp(32'h7fffff17,32'h00000022,32'hffffe10e);
test.u_cmp(32'h0000040c,32'h0000005f,32'h00018074);
test.u_cmp(32'h00000206,32'h0000005f,32'h0000c03a);
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
test.u_cmp(32'h00000001,32'h00000051,32'h00000051);
test.u_cmp(32'h00000781,32'h00000043,32'h0001f6c3);
test.u_cmp(32'h000003c0,32'h00000043,32'h0000fb40);
test.u_cmp(32'h0000021f,32'h00000010,32'h000021f0);
test.u_cmp(32'h7ffffef0,32'h00000010,32'hffffef00);
test.u_cmp(32'h00000279,32'h0000006c,32'h00010b0c);
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
test.u_cmp(32'h00000361,32'h00000035,32'h0000b315);
test.u_cmp(32'h000001b0,32'h00000035,32'h00005970);
test.u_cmp(32'h00000658,32'h0000001e,32'h0000be50);
test.u_cmp(32'h7ffffcd4,32'h0000001e,32'hffffa0d8);
test.u_cmp(32'h00000716,32'h00000018,32'h0000aa10);
test.u_cmp(32'h7ffffc75,32'h00000018,32'hffffaaf8);
test.u_cmp(32'h00000745,32'h00000016,32'h00009fee);
test.u_cmp(32'h000003a2,32'h00000016,32'h00004fec);
test.u_cmp(32'h000005af,32'h0000007e,32'h0002cc22);
test.u_cmp(32'h000002d7,32'h0000007e,32'h000165d2);
test.u_cmp(32'h00000414,32'h00000020,32'h00008280);
test.u_cmp(32'h7ffffdf6,32'h00000020,32'hffffbec0);
test.u_cmp(32'h00000105,32'h00000028,32'h000028c8);
test.u_cmp(32'h00000082,32'h00000028,32'h00001450);
test.u_cmp(32'h0000043f,32'h0000005e,32'h00018f22);
test.u_cmp(32'h7ffffde0,32'h0000005e,32'hffff3840);
test.u_cmp(32'h00000010,32'h0000007f,32'h000007f0);
test.u_cmp(32'h00000008,32'h0000007f,32'h000003f8);
test.u_cmp(32'h00000104,32'h00000077,32'h000078dc);
test.u_cmp(32'h00000082,32'h00000077,32'h00003c6e);
test.u_cmp(32'h00000141,32'h00000075,32'h000092b5);
test.u_cmp(32'h000000a0,32'h00000075,32'h00004920);
test.u_cmp(32'h000003af,32'h0000001d,32'h00006ad3);
test.u_cmp(32'h000001d7,32'h0000001d,32'h0000355b);
test.u_cmp(32'h000000eb,32'h00000007,32'h0000066d);
test.u_cmp(32'h00000075,32'h00000007,32'h00000333);
test.u_cmp(32'h000002c6,32'h00000069,32'h00012336);
test.u_cmp(32'h00000163,32'h00000069,32'h0000919b);
test.u_cmp(32'h0000034e,32'h00000036,32'h0000b274);
test.u_cmp(32'h000001a7,32'h00000036,32'h0000593a);
test.u_cmp(32'h000004ad,32'h00000076,32'h000227be);
test.u_cmp(32'h00000256,32'h00000076,32'h000113a4);
test.u_cmp(32'h000006ac,32'h0000004a,32'h0001edb8);
test.u_cmp(32'h00000356,32'h0000004a,32'h0000f6dc);
test.u_cmp(32'h00000255,32'h0000003e,32'h00009096);
test.u_cmp(32'h7ffffed5,32'h0000003e,32'hffffb796);
test.u_cmp(32'h000004eb,32'h00000078,32'h00024e28);
test.u_cmp(32'h00000275,32'h00000078,32'h000126d8);
test.u_cmp(32'h000006bb,32'h00000066,32'h0002ae82);
test.u_cmp(32'h7ffffca2,32'h00000066,32'hfffea88c);
test.u_cmp(32'h0000062f,32'h0000004e,32'h0001e252);
test.u_cmp(32'h00000317,32'h0000004e,32'h0000f102);
test.u_cmp(32'h0000008c,32'h0000007b,32'h00004344);
test.u_cmp(32'h00000046,32'h0000007b,32'h000021a2);
test.u_cmp(32'h00000123,32'h00000076,32'h00008622);
test.u_cmp(32'h00000091,32'h00000076,32'h000042d6);
test.u_cmp(32'h00000149,32'h0000005b,32'h000074f3);
test.u_cmp(32'h000000a4,32'h0000005b,32'h00003a4c);
test.u_cmp(32'h0000052d,32'h00000029,32'h0000d435);
test.u_cmp(32'h00000296,32'h00000029,32'h00006a06);
test.u_cmp(32'h000003b5,32'h00000062,32'h00016b4a);
test.u_cmp(32'h7ffffe25,32'h00000062,32'hffff4a2a);
test.u_cmp(32'h000001ee,32'h00000060,32'h0000b940);
test.u_cmp(32'h000000f7,32'h00000060,32'h00005ca0);
test.u_cmp(32'h000007fc,32'h00000040,32'h0001ff00);
test.u_cmp(32'h7ffffc02,32'h00000040,32'hffff0080);
test.u_cmp(32'h00000201,32'h00000040,32'h00008040);
test.u_cmp(32'h00000100,32'h00000040,32'h00004000);
test.u_cmp(32'h00000500,32'h00000058,32'h0001b800);
test.u_cmp(32'h7ffffd80,32'h00000058,32'hffff2400);
test.u_cmp(32'h000002c0,32'h0000003a,32'h00009f80);
test.u_cmp(32'h00000160,32'h0000003a,32'h00004fc0);
test.u_cmp(32'h000004d0,32'h00000077,32'h00023cb0);
test.u_cmp(32'h00000268,32'h00000077,32'h00011e58);
test.u_cmp(32'h000006b4,32'h0000004a,32'h0001f008);
test.u_cmp(32'h7ffffca6,32'h0000004a,32'hffff07fc);
test.u_cmp(32'h00000253,32'h0000003e,32'h0000901a);
test.u_cmp(32'h7ffffed6,32'h0000003e,32'hffffb7d4);
test.u_cmp(32'h00000614,32'h00000020,32'h0000c280);
test.u_cmp(32'h7ffffcf6,32'h00000020,32'hffff9ec0);
test.u_cmp(32'h000005fb,32'h00000050,32'h0001de70);
test.u_cmp(32'h7ffffd02,32'h00000050,32'hffff10a0);
test.u_cmp(32'h0000007f,32'h00000054,32'h000029ac);
test.u_cmp(32'h7fffffc0,32'h00000054,32'hffffeb00);
test.u_cmp(32'h00000560,32'h0000002b,32'h0000e720);
test.u_cmp(32'h000002b0,32'h0000002b,32'h00007390);
test.u_cmp(32'h00000158,32'h00000026,32'h00003310);
test.u_cmp(32'h7fffff54,32'h00000026,32'hffffe678);
test.u_cmp(32'h0000042a,32'h00000072,32'h0001dab4);
test.u_cmp(32'h00000215,32'h00000072,32'h0000ed5a);
test.u_cmp(32'h0000068b,32'h00000065,32'h000294d7);
test.u_cmp(32'h00000345,32'h00000065,32'h00014a39);
test.u_cmp(32'h00000623,32'h00000062,32'h00025966);
test.u_cmp(32'h00000311,32'h00000062,32'h00012c82);
test.u_cmp(32'h00000609,32'h00000061,32'h00024969);
test.u_cmp(32'h00000304,32'h00000061,32'h00012484);
test.u_cmp(32'h00000603,32'h0000004f,32'h0001daed);
test.u_cmp(32'h00000301,32'h0000004f,32'h0000ed4f);
test.u_cmp(32'h00000081,32'h00000055,32'h00002ad5);
test.u_cmp(32'h00000040,32'h00000055,32'h00001540);
test.u_cmp(32'h000007a1,32'h00000042,32'h0001f782);
test.u_cmp(32'h000003d0,32'h00000042,32'h0000fba0);
test.u_cmp(32'h00000217,32'h00000040,32'h000085c0);
test.u_cmp(32'h7ffffef4,32'h00000040,32'hffffbd00);
test.u_cmp(32'h000004fb,32'h00000078,32'h000255a8);
test.u_cmp(32'h7ffffd82,32'h00000078,32'hfffed4f0);
test.u_cmp(32'h000006bf,32'h00000066,32'h0002b01a);
test.u_cmp(32'h7ffffca0,32'h00000066,32'hfffea7c0);
test.u_cmp(32'h00000630,32'h00000062,32'h00025e60);
test.u_cmp(32'h00000318,32'h00000062,32'h00012f30);
test.u_cmp(32'h000004f4,32'h00000009,32'h00002c94);
test.u_cmp(32'h7ffffd86,32'h00000009,32'h7fffe9b6);
test.u_cmp(32'h00000543,32'h00000055,32'h0001bf3f);
test.u_cmp(32'h000002a1,32'h00000055,32'h0000df75);
test.u_cmp(32'h000002af,32'h0000003b,32'h00009e55);
test.u_cmp(32'h00000157,32'h0000003b,32'h00004f0d);
test.u_cmp(32'h0000062b,32'h0000001f,32'h0000bf35);
test.u_cmp(32'h00000315,32'h0000001f,32'h00005f8b);
test.u_cmp(32'h000005f6,32'h00000050,32'h0001dce0);
test.u_cmp(32'h7ffffd05,32'h00000050,32'hffff1190);
test.u_cmp(32'h0000007e,32'h0000007c,32'h00003d08);
test.u_cmp(32'h7fffffc1,32'h0000007c,32'hffffe17c);
test.u_cmp(32'h00000120,32'h00000077,32'h000085e0);
test.u_cmp(32'h7fffff70,32'h00000077,32'h7fffbd10);
test.u_cmp(32'h00000148,32'h00000075,32'h000095e8);
test.u_cmp(32'h000000a4,32'h00000075,32'h00004af4);
test.u_cmp(32'h000003ae,32'h00000033,32'h0000bbaa);
test.u_cmp(32'h000001d7,32'h00000033,32'h00005dd5);
test.u_cmp(32'h00000495,32'h00000075,32'h00021819);
test.u_cmp(32'h7ffffdb5,32'h00000075,32'h7ffef3b9);
test.u_cmp(32'h000006a6,32'h00000066,32'h0002a624);
test.u_cmp(32'h00000353,32'h00000066,32'h00015312);
test.u_cmp(32'h0000062a,32'h0000004e,32'h0001e0cc);
test.u_cmp(32'h00000315,32'h0000004e,32'h0000f066);
test.u_cmp(32'h00000275,32'h0000003d,32'h000095e1);
test.u_cmp(32'h7ffffec5,32'h0000003d,32'h7fffb4f1);
test.u_cmp(32'h0000061d,32'h00000020,32'h0000c3a0);
test.u_cmp(32'h7ffffcf1,32'h00000020,32'hffff9e20);
test.u_cmp(32'h00000707,32'h00000038,32'h00018988);
test.u_cmp(32'h00000383,32'h00000038,32'h0000c4a8);
test.u_cmp(32'h0000033f,32'h0000004a,32'h0000f036);
test.u_cmp(32'h7ffffe60,32'h0000004a,32'hffff87c0);
test.u_cmp(32'h000005b0,32'h0000002d,32'h0000fff0);
test.u_cmp(32'h7ffffd28,32'h0000002d,32'h7fff8008);
test.u_cmp(32'h0000016c,32'h0000000b,32'h00000fa4);
test.u_cmp(32'h000000b6,32'h0000000b,32'h000007d2);
test.u_cmp(32'h0000005b,32'h00000002,32'h000000b6);
test.u_cmp(32'h7fffffd2,32'h00000002,32'hffffffa4);
test.u_cmp(32'h000002ea,32'h00000068,32'h00012f10);
test.u_cmp(32'h00000175,32'h00000068,32'h00009788);
test.u_cmp(32'h000001bb,32'h0000005e,32'h0000a2aa);
test.u_cmp(32'h7fffff22,32'h0000005e,32'hffffae7c);
test.u_cmp(32'h00000511,32'h00000028,32'h0000caa8);
test.u_cmp(32'h7ffffd77,32'h00000028,32'hffff9a98);
test.u_cmp(32'h000003bc,32'h00000062,32'h00016df8);
test.u_cmp(32'h7ffffe22,32'h00000062,32'hffff4904);
test.u_cmp(32'h00000311,32'h00000038,32'h0000abb8);
test.u_cmp(32'h7ffffe77,32'h00000038,32'hffffaa08);
test.u_cmp(32'h000004bc,32'h0000005a,32'h0001aa18);
test.u_cmp(32'h7ffffda2,32'h0000005a,32'hffff2af4);
test.u_cmp(32'h0000002f,32'h0000007e,32'h00001722);
test.u_cmp(32'h00000017,32'h0000007e,32'h00000b52);
test.u_cmp(32'h000003f4,32'h0000001f,32'h00007a8c);
test.u_cmp(32'h7ffffe06,32'h0000001f,32'h7fffc2ba);
test.u_cmp(32'h000000fd,32'h00000007,32'h000006eb);
test.u_cmp(32'h7fffff81,32'h00000007,32'h7ffffc87);
test.u_cmp(32'h0000003f,32'h0000002f,32'h00000b91);
test.u_cmp(32'h7fffffe0,32'h0000002f,32'h7ffffa20);
test.u_cmp(32'h0000068f,32'h00000034,32'h0001550c);
test.u_cmp(32'h00000347,32'h00000034,32'h0000aa6c);
test.u_cmp(32'h0000035d,32'h00000065,32'h000153b1);
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
test.u_cmp(32'h00000328,32'h00000037,32'h0000ad98);
test.u_cmp(32'h00000194,32'h00000037,32'h000056cc);
test.u_cmp(32'h000004b6,32'h00000076,32'h00022be4);
test.u_cmp(32'h7ffffda5,32'h00000076,32'hfffeea0e);
test.u_cmp(32'h000006ae,32'h0000004a,32'h0001ee4c);
test.u_cmp(32'h00000357,32'h0000004a,32'h0000f726);
test.u_cmp(32'h00000254,32'h00000012,32'h000029e8);
test.u_cmp(32'h7ffffed6,32'h00000012,32'hffffeb0c);
test.u_cmp(32'h00000095,32'h0000002c,32'h0000199c);
test.u_cmp(32'h7fffffb5,32'h0000002c,32'hfffff31c);
test.u_cmp(32'h0000045b,32'h00000073,32'h0001f4e1);
test.u_cmp(32'h7ffffdd2,32'h00000073,32'h7fff0556);
test.u_cmp(32'h00000697,32'h0000004b,32'h0001ee3d);
test.u_cmp(32'h7ffffcb4,32'h0000004b,32'h7fff08bc);
test.u_cmp(32'h0000025a,32'h0000003e,32'h000091cc);
test.u_cmp(32'h7ffffed3,32'h0000003e,32'hffffb71a);
test.u_cmp(32'h00000616,32'h00000020,32'h0000c2c0);
test.u_cmp(32'h7ffffcf5,32'h00000020,32'hffff9ea0);
test.u_cmp(32'h00000705,32'h00000018,32'h0000a878);
test.u_cmp(32'h00000382,32'h00000018,32'h00005430);
test.u_cmp(32'h00000741,32'h0000003a,32'h0001a4ba);
test.u_cmp(32'h000003a0,32'h0000003a,32'h0000d240);
test.u_cmp(32'h000001d0,32'h0000000e,32'h00001960);
test.u_cmp(32'h7fffff18,32'h0000000e,32'hfffff350);
test.u_cmp(32'h0000028c,32'h00000045,32'h0000afbc);
test.u_cmp(32'h00000146,32'h00000045,32'h000057de);
test.u_cmp(32'h000005dd,32'h0000002e,32'h00010db6);
test.u_cmp(32'h7ffffd11,32'h0000002e,32'hffff790e);
test.u_cmp(32'h00000177,32'h00000025,32'h00003633);
test.u_cmp(32'h7fffff44,32'h00000025,32'h7fffe4d4);
test.u_cmp(32'h00000423,32'h0000005e,32'h000184da);
test.u_cmp(32'h00000211,32'h0000005e,32'h0000c23e);
test.u_cmp(32'h000002f7,32'h00000039,32'h0000a8ff);
test.u_cmp(32'h7ffffe84,32'h00000039,32'h7fffab64);
test.u_cmp(32'h000004c3,32'h00000077,32'h000236a5);
test.u_cmp(32'h00000261,32'h00000077,32'h00011b17);
test.u_cmp(32'h0000044f,32'h00000022,32'h0000927e);
test.u_cmp(32'h00000227,32'h00000022,32'h0000492e);
test.u_cmp(32'h000003ed,32'h00000060,32'h000178e0);
test.u_cmp(32'h000001f6,32'h00000060,32'h0000bc40);
test.u_cmp(32'h00000304,32'h00000038,32'h0000a8e0);
test.u_cmp(32'h00000182,32'h00000038,32'h00005470);
test.u_cmp(32'h000004bf,32'h00000076,32'h0002300a);
test.u_cmp(32'h7ffffda0,32'h00000076,32'hfffee7c0);
test.u_cmp(32'h000006b0,32'h00000066,32'h0002aa20);
test.u_cmp(32'h00000358,32'h00000066,32'h00015510);
test.u_cmp(32'h0000062c,32'h0000004e,32'h0001e168);
test.u_cmp(32'h00000316,32'h0000004e,32'h0000f0b4);
test.u_cmp(32'h00000275,32'h0000003d,32'h000095e1);
test.u_cmp(32'h7ffffec5,32'h0000003d,32'h7fffb4f1);
test.u_cmp(32'h0000061d,32'h00000020,32'h0000c3a0);
test.u_cmp(32'h7ffffcf1,32'h00000020,32'hffff9e20);
test.u_cmp(32'h000005f9,32'h00000050,32'h0001ddd0);
test.u_cmp(32'h7ffffd03,32'h00000050,32'hffff10f0);
test.u_cmp(32'h0000007f,32'h0000007c,32'h00003d84);
test.u_cmp(32'h7fffffc0,32'h0000007c,32'hffffe100);
test.u_cmp(32'h000003e0,32'h00000031,32'h0000bde0);
test.u_cmp(32'h000001f0,32'h00000031,32'h00005ef0);
test.u_cmp(32'h00000678,32'h0000001d,32'h0000bb98);
test.u_cmp(32'h7ffffcc4,32'h0000001d,32'h7fffa234);
test.u_cmp(32'h0000071e,32'h00000038,32'h00018e90);
test.u_cmp(32'h7ffffc71,32'h00000038,32'hffff38b8);
test.u_cmp(32'h000001c7,32'h00000022,32'h00003c6e);
test.u_cmp(32'h000000e3,32'h00000022,32'h00001e26);
test.u_cmp(32'h0000040f,32'h00000071,32'h0001ca9f);
test.u_cmp(32'h00000207,32'h00000071,32'h0000e517);
test.u_cmp(32'h00000684,32'h00000065,32'h00029214);
test.u_cmp(32'h00000342,32'h00000065,32'h0001490a);
test.u_cmp(32'h00000621,32'h0000004e,32'h0001de0e);
test.u_cmp(32'h00000310,32'h0000004e,32'h0000eee0);
test.u_cmp(32'h00000277,32'h0000003d,32'h0000965b);
test.u_cmp(32'h7ffffec4,32'h0000003d,32'h7fffb4b4);
test.u_cmp(32'h000004e3,32'h00000058,32'h0001ae08);
test.u_cmp(32'h00000271,32'h00000058,32'h0000d6d8);
test.u_cmp(32'h00000039,32'h0000007e,32'h00001c0e);
test.u_cmp(32'h7fffffe3,32'h0000007e,32'hfffff1ba);
test.u_cmp(32'h000003f1,32'h0000001f,32'h00007a2f);
test.u_cmp(32'h7ffffe07,32'h0000001f,32'h7fffc2d9);
test.u_cmp(32'h000000fc,32'h00000007,32'h000006e4);
test.u_cmp(32'h7fffff82,32'h00000007,32'h7ffffc8e);
test.u_cmp(32'h0000003f,32'h00000001,32'h0000003f);
test.u_cmp(32'h7fffffe0,32'h00000001,32'h7fffffe0);
test.u_cmp(32'h000002f1,32'h00000068,32'h000131e8);
test.u_cmp(32'h7ffffe87,32'h00000068,32'hffff66d8);
test.u_cmp(32'h000001bd,32'h00000072,32'h0000c62a);
test.u_cmp(32'h7fffff21,32'h00000072,32'hffff9cb2);
test.u_cmp(32'h00000390,32'h0000001c,32'h000063c0);
test.u_cmp(32'h7ffffe38,32'h0000001c,32'hffffce20);
test.u_cmp(32'h000000e4,32'h00000029,32'h00002484);
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
test.u_cmp(32'h000006b9,32'h0000001b,32'h0000b583);
test.u_cmp(32'h7ffffca3,32'h0000001b,32'h7fffa531);
test.u_cmp(32'h0000072e,32'h00000017,32'h0000a522);
test.u_cmp(32'h00000397,32'h00000017,32'h00005291);
test.u_cmp(32'h000005b5,32'h00000052,32'h0001d3fa);
test.u_cmp(32'h7ffffd25,32'h00000052,32'hffff15da);
test.u_cmp(32'h0000006e,32'h0000007c,32'h00003548);
test.u_cmp(32'h00000037,32'h0000007c,32'h00001aa4);
test.u_cmp(32'h000003e4,32'h0000001f,32'h0000789c);
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
test.u_cmp(32'h000000f9,32'h00000029,32'h000027e1);
test.u_cmp(32'h7fffff83,32'h00000029,32'h7fffebfb);
test.u_cmp(32'h000006be,32'h0000001b,32'h0000b60a);
test.u_cmp(32'h7ffffca1,32'h0000001b,32'h7fffa4fb);
test.u_cmp(32'h0000072f,32'h00000017,32'h0000a539);
test.u_cmp(32'h00000397,32'h00000017,32'h00005291);
test.u_cmp(32'h0000074b,32'h0000003a,32'h0001a6fe);
test.u_cmp(32'h000003a5,32'h0000003a,32'h0000d362);
test.u_cmp(32'h000001d2,32'h0000000e,32'h0000197c);
test.u_cmp(32'h7fffff17,32'h0000000e,32'hfffff342);
test.u_cmp(32'h00000074,32'h0000002d,32'h00001464);
test.u_cmp(32'h7fffffc6,32'h0000002d,32'h7ffff5ce);
test.u_cmp(32'h00000463,32'h00000074,32'h0001fcdc);
test.u_cmp(32'h00000231,32'h00000074,32'h0000fe34);
test.u_cmp(32'h00000467,32'h0000000d,32'h0000393b);
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
test.u_cmp(32'h00000799,32'h0000003c,32'h0001c7dc);
test.u_cmp(32'h7ffffc33,32'h0000003c,32'hffff1bf4);
test.u_cmp(32'h0000031a,32'h00000049,32'h0000e26a);
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
test.u_cmp(32'h00000747,32'h00000045,32'h0001f623);
test.u_cmp(32'h000003a3,32'h00000045,32'h0000faef);
test.u_cmp(32'h0000022e,32'h00000011,32'h0000250e);
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
test.u_cmp(32'h00000275,32'h0000006c,32'h0001095c);
test.u_cmp(32'h7ffffec5,32'h0000006c,32'hffff7b1c);
test.u_cmp(32'h0000019e,32'h00000073,32'h0000b9fa);
test.u_cmp(32'h7fffff31,32'h00000073,32'h7fffa303);
test.u_cmp(32'h00000168,32'h0000005c,32'h00008160);
test.u_cmp(32'h000000b4,32'h0000005c,32'h000040b0);
test.u_cmp(32'h00000526,32'h00000029,32'h0000d316);
test.u_cmp(32'h00000293,32'h00000029,32'h0000698b);
test.u_cmp(32'h00000149,32'h00000026,32'h000030d6);
test.u_cmp(32'h000000a4,32'h00000026,32'h00001858);
test.u_cmp(32'h0000042e,32'h0000005e,32'h000188e4);
test.u_cmp(32'h00000217,32'h0000005e,32'h0000c472);
test.u_cmp(32'h0000000c,32'h0000007f,32'h000005f4);
test.u_cmp(32'h00000006,32'h0000007f,32'h000002fa);
test.u_cmp(32'h000003fd,32'h0000001f,32'h00007ba3);
test.u_cmp(32'h7ffffe01,32'h0000001f,32'h7fffc21f);
test.u_cmp(32'h00000201,32'h0000006f,32'h0000de6f);
test.u_cmp(32'h00000100,32'h0000006f,32'h00006f00);
test.u_cmp(32'h0000037f,32'h0000001b,32'h00005e65);
test.u_cmp(32'h7ffffe40,32'h0000001b,32'h7fffd0c0);
test.u_cmp(32'h00000221,32'h0000006e,32'h0000ea2e);
test.u_cmp(32'h00000110,32'h0000006e,32'h000074e0);
test.u_cmp(32'h00000189,32'h0000005d,32'h00008ec5);
test.u_cmp(32'h000000c4,32'h0000005d,32'h00004734);
test.u_cmp(32'h0000051d,32'h00000028,32'h0000cc88);
test.u_cmp(32'h7ffffd71,32'h00000028,32'hffff99a8);
test.u_cmp(32'h00000147,32'h0000000a,32'h00000cc6);
test.u_cmp(32'h000000a3,32'h0000000a,32'h0000065e);
test.u_cmp(32'h000002af,32'h0000006a,32'h00011c76);
test.u_cmp(32'h00000157,32'h0000006a,32'h00008e06);
test.u_cmp(32'h00000354,32'h0000001a,32'h00005688);
test.u_cmp(32'h7ffffe56,32'h0000001a,32'hffffd4bc);
test.u_cmp(32'h0000022b,32'h00000042,32'h00008f16);
test.u_cmp(32'h00000115,32'h00000042,32'h0000476a);
test.u_cmp(32'h0000070b,32'h00000047,32'h0001f40d);
test.u_cmp(32'h00000385,32'h00000047,32'h0000f9e3);
test.u_cmp(32'h0000023d,32'h0000003f,32'h00008d03);
test.u_cmp(32'h7ffffee1,32'h0000003f,32'h7fffb95f);
test.u_cmp(32'h0000060f,32'h00000020,32'h0000c1e0);
test.u_cmp(32'h00000307,32'h00000020,32'h000060e0);
test.u_cmp(32'h00000703,32'h00000018,32'h0000a848);
test.u_cmp(32'h00000381,32'h00000018,32'h00005418);
test.u_cmp(32'h00000740,32'h00000016,32'h00009f80);
test.u_cmp(32'h000003a0,32'h00000016,32'h00004fc0);
test.u_cmp(32'h00000750,32'h00000016,32'h0000a0e0);
test.u_cmp(32'h7ffffc58,32'h00000016,32'hffffaf90);
test.u_cmp(32'h000005ac,32'h00000052,32'h0001d118);
test.u_cmp(32'h000002d6,32'h00000052,32'h0000e88c);
test.u_cmp(32'h0000006b,32'h0000007c,32'h000033d4);
test.u_cmp(32'h00000035,32'h0000007c,32'h000019ac);
test.u_cmp(32'h000003e5,32'h0000001f,32'h000078bb);
test.u_cmp(32'h000001f2,32'h0000001f,32'h00003c4e);
test.u_cmp(32'h000000f9,32'h00000029,32'h000027e1);
test.u_cmp(32'h7fffff83,32'h00000029,32'h7fffebfb);
test.u_cmp(32'h00000442,32'h0000005d,32'h00018bfa);
test.u_cmp(32'h00000221,32'h0000005d,32'h0000c5fd);
test.u_cmp(32'h000002ef,32'h00000039,32'h0000a737);
test.u_cmp(32'h00000177,32'h00000039,32'h0000537f);
test.u_cmp(32'h000004c5,32'h00000059,32'h0001a87d);
test.u_cmp(32'h00000262,32'h00000059,32'h0000d412);
test.u_cmp(32'h00000032,32'h0000007e,32'h0000189c);
test.u_cmp(32'h7fffffe7,32'h0000007e,32'hfffff3b2);
test.u_cmp(32'h0000010d,32'h00000059,32'h00005d85);
test.u_cmp(32'h00000086,32'h00000059,32'h00002e96);
test.u_cmp(32'h000007c4,32'h0000006f,32'h00035dfc);
test.u_cmp(32'h000003e2,32'h0000006f,32'h0001aefe);
test.u_cmp(32'h00000671,32'h00000064,32'h00028424);
test.u_cmp(32'h7ffffcc7,32'h00000064,32'hfffebdbc);
test.u_cmp(32'h0000061d,32'h0000004f,32'h0001e2f3);
test.u_cmp(32'h7ffffcf1,32'h0000004f,32'h7fff0e5f);
test.u_cmp(32'h00000278,32'h0000003d,32'h00009698);
test.u_cmp(32'h7ffffec4,32'h0000003d,32'h7fffb4b4);
test.u_cmp(32'h000004e2,32'h00000058,32'h0001adb0);
test.u_cmp(32'h00000271,32'h00000058,32'h0000d6d8);
test.u_cmp(32'h000002c7,32'h00000016,32'h00003d1a);
test.u_cmp(32'h00000163,32'h00000016,32'h00001e82);
test.u_cmp(32'h0000024f,32'h0000006d,32'h0000fba3);
test.u_cmp(32'h00000127,32'h0000006d,32'h00007d9b);
test.u_cmp(32'h00000194,32'h00000073,32'h0000b57c);
test.u_cmp(32'h7fffff36,32'h00000073,32'h7fffa542);
test.u_cmp(32'h00000165,32'h0000005c,32'h0000804c);
test.u_cmp(32'h000000b2,32'h0000005c,32'h00003ff8);
test.u_cmp(32'h00000526,32'h00000007,32'h0000240a);
test.u_cmp(32'h00000293,32'h00000007,32'h00001205);
test.u_cmp(32'h000007c9,32'h0000003e,32'h0001e2ae);
test.u_cmp(32'h000003e4,32'h0000003e,32'h0000f138);
test.u_cmp(32'h000001f2,32'h00000021,32'h00004032);
test.u_cmp(32'h7fffff07,32'h00000021,32'h7fffdfe7);
test.u_cmp(32'h000006fc,32'h00000019,32'h0000ae9c);
test.u_cmp(32'h7ffffc82,32'h00000019,32'h7fffa8b2);
test.u_cmp(32'h0000073f,32'h00000039,32'h00019d07);
test.u_cmp(32'h7ffffc60,32'h00000039,32'h7fff3160);
test.u_cmp(32'h000001cf,32'h0000000e,32'h00001952);
test.u_cmp(32'h000000e7,32'h0000000e,32'h00000ca2);
test.u_cmp(32'h0000028d,32'h0000006b,32'h000110ef);
test.u_cmp(32'h00000146,32'h0000006b,32'h00008842);
test.u_cmp(32'h000001a4,32'h00000072,32'h0000bb08);
test.u_cmp(32'h000000d2,32'h00000072,32'h00005d84);
test.u_cmp(32'h00000397,32'h0000001c,32'h00006484);
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
test.u_cmp(32'h000000e5,32'h00000029,32'h000024ad);
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
test.u_cmp(32'h000006b9,32'h00000035,32'h0001644d);
test.u_cmp(32'h7ffffca3,32'h00000035,32'h7fff4dbf);
test.u_cmp(32'h00000352,32'h00000065,32'h00014f5a);
test.u_cmp(32'h7ffffe57,32'h00000065,32'h7fff5853);
test.u_cmp(32'h0000032b,32'h00000019,32'h00004f33);
test.u_cmp(32'h00000195,32'h00000019,32'h0000278d);
test.u_cmp(32'h00000236,32'h00000042,32'h000091ec);
test.u_cmp(32'h7ffffee5,32'h00000042,32'hffffb70a);
test.u_cmp(32'h0000070e,32'h00000047,32'h0001f4e2);
test.u_cmp(32'h00000387,32'h00000047,32'h0000fa71);
test.u_cmp(32'h000000c4,32'h00000079,32'h00005ca4);
test.u_cmp(32'h00000062,32'h00000079,32'h00002e52);
test.u_cmp(32'h00000131,32'h00000076,32'h00008c96);
test.u_cmp(32'h7fffff67,32'h00000076,32'hffffb97a);
test.u_cmp(32'h0000014d,32'h0000005b,32'h0000765f);
test.u_cmp(32'h000000a6,32'h0000005b,32'h00003b02);
test.u_cmp(32'h000007d4,32'h00000041,32'h0001fcd4);
test.u_cmp(32'h7ffffc16,32'h00000041,32'h7fff0196);
test.u_cmp(32'h000000f5,32'h00000078,32'h000072d8);
test.u_cmp(32'h7fffff85,32'h00000078,32'hffffc658);
test.u_cmp(32'h000003c2,32'h00000032,32'h0000bbe4);
test.u_cmp(32'h000001e1,32'h00000032,32'h00005df2);
test.u_cmp(32'h00000490,32'h00000075,32'h000215d0);
test.u_cmp(32'h00000248,32'h00000075,32'h00010ae8);
test.u_cmp(32'h0000045c,32'h0000000e,32'h00003d08);
test.u_cmp(32'h7ffffdd2,32'h0000000e,32'hffffe17c);
test.u_cmp(32'h00000797,32'h0000003c,32'h0001c764);
test.u_cmp(32'h7ffffc34,32'h0000003c,32'hffff1c30);
test.u_cmp(32'h0000031b,32'h00000049,32'h0000e2b3);
test.u_cmp(32'h7ffffe72,32'h00000049,32'h7fff8e82);
test.u_cmp(32'h000005b9,32'h0000002d,32'h00010185);
test.u_cmp(32'h7ffffd23,32'h0000002d,32'h7fff7f27);
test.u_cmp(32'h0000016e,32'h00000025,32'h000034e6);
test.u_cmp(32'h000000b7,32'h00000025,32'h00001a73);
test.u_cmp(32'h000006db,32'h0000001a,32'h0000b23e);
test.u_cmp(32'h7ffffc92,32'h0000001a,32'hffffa6d4);
test.u_cmp(32'h00000736,32'h00000039,32'h00019b06);
test.u_cmp(32'h7ffffc65,32'h00000039,32'h7fff327d);
test.u_cmp(32'h000001cd,32'h00000022,32'h00003d3a);
test.u_cmp(32'h000000e6,32'h00000022,32'h00001e8c);
test.u_cmp(32'h000006f3,32'h00000037,32'h00017e35);
test.u_cmp(32'h7ffffc86,32'h00000037,32'h7fff40ca);
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
test.u_cmp(32'h00000751,32'h0000006b,32'h00030edb);
test.u_cmp(32'h7ffffc57,32'h0000006b,32'h7ffe785d);
test.u_cmp(32'h00000655,32'h0000004d,32'h0001e791);
test.u_cmp(32'h7ffffcd5,32'h0000004d,32'h7fff0c11);
test.u_cmp(32'h00000096,32'h0000007b,32'h00004812);
test.u_cmp(32'h7fffffb5,32'h0000007b,32'h7fffdbf7);
test.u_cmp(32'h000003da,32'h00000032,32'h0000c094);
test.u_cmp(32'h7ffffe13,32'h00000032,32'hffff9fb6);
test.u_cmp(32'h0000048a,32'h00000075,32'h00021312);
test.u_cmp(32'h00000245,32'h00000075,32'h00010989);
test.u_cmp(32'h0000045d,32'h0000000e,32'h00003d16);
test.u_cmp(32'h7ffffdd1,32'h0000000e,32'hffffe16e);
test.u_cmp(32'h00000797,32'h0000003c,32'h0001c764);
test.u_cmp(32'h7ffffc34,32'h0000003c,32'hffff1c30);
test.u_cmp(32'h0000031b,32'h00000067,32'h00013fdd);
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
test.u_cmp(32'h00000339,32'h00000037,32'h0000b13f);
test.u_cmp(32'h7ffffe63,32'h00000037,32'h7fffa745);
test.u_cmp(32'h0000064e,32'h00000032,32'h00013b3c);
test.u_cmp(32'h00000327,32'h00000032,32'h00009d9e);
test.u_cmp(32'h00000193,32'h00000024,32'h000038ac);
test.u_cmp(32'h7fffff36,32'h00000024,32'hffffe398);
test.u_cmp(32'h000006e4,32'h00000019,32'h0000ac44);
test.u_cmp(32'h00000372,32'h00000019,32'h00005622);
test.u_cmp(32'h00000739,32'h00000039,32'h00019bb1);
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
test.u_cmp(32'h00000332,32'h0000004a,32'h0000ec74);
test.u_cmp(32'h7ffffe67,32'h0000004a,32'hffff89c6);
test.u_cmp(32'h000005b3,32'h0000002d,32'h00010077);
test.u_cmp(32'h7ffffd26,32'h0000002d,32'h7fff7fae);
test.u_cmp(32'h00000394,32'h00000063,32'h0001623c);
test.u_cmp(32'h7ffffe36,32'h00000063,32'h7fff4ee2);
test.u_cmp(32'h0000031b,32'h00000038,32'h0000ade8);
test.u_cmp(32'h7ffffe72,32'h00000038,32'hffffa8f0);
test.u_cmp(32'h00000646,32'h0000001e,32'h0000bc34);
test.u_cmp(32'h00000323,32'h0000001e,32'h00005e1a);
test.u_cmp(32'h000005ef,32'h00000050,32'h0001dab0);
test.u_cmp(32'h000002f7,32'h00000050,32'h0000ed30);
test.u_cmp(32'h00000284,32'h00000014,32'h00003250);
test.u_cmp(32'h00000142,32'h00000014,32'h00001928);
test.u_cmp(32'h0000025f,32'h0000006d,32'h00010273);
test.u_cmp(32'h7ffffed0,32'h0000006d,32'h7fff7e90);
test.u_cmp(32'h00000198,32'h0000005d,32'h00009438);
test.u_cmp(32'h7fffff34,32'h0000005d,32'h7fffb5e4);
test.u_cmp(32'h000007e6,32'h00000040,32'h0001f980);
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
test.u_cmp(32'h00000206,32'h00000010,32'h00002060);
test.u_cmp(32'h00000103,32'h00000010,32'h00001030);
test.u_cmp(32'h0000027f,32'h0000006c,32'h00010d94);
test.u_cmp(32'h7ffffec0,32'h0000006c,32'hffff7900);
test.u_cmp(32'h000001a0,32'h0000005d,32'h00009720);
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
test.u_cmp(32'h000007e8,32'h00000040,32'h0001fa00);
test.u_cmp(32'h000003f4,32'h00000040,32'h0000fd00);
test.u_cmp(32'h000000fa,32'h00000058,32'h000055f0);
test.u_cmp(32'h7fffff83,32'h00000058,32'hffffd508);
test.u_cmp(32'h00000541,32'h00000006,32'h00001f86);
test.u_cmp(32'h000002a0,32'h00000006,32'h00000fc0);
test.u_cmp(32'h00000530,32'h0000007a,32'h000278e0);
test.u_cmp(32'h00000298,32'h0000007a,32'h00013c70);
test.u_cmp(32'h000006cc,32'h00000049,32'h0001f02c);
test.u_cmp(32'h00000366,32'h00000049,32'h0000f816);
test.u_cmp(32'h0000024d,32'h00000012,32'h0000296a);
test.u_cmp(32'h00000126,32'h00000012,32'h000014ac);
test.u_cmp(32'h00000093,32'h00000004,32'h0000024c);
test.u_cmp(32'h7fffffb6,32'h00000004,32'hfffffed8);
test.u_cmp(32'h000002dc,32'h00000047,32'h0000cb04);
test.u_cmp(32'h7ffffe92,32'h00000047,32'h7fff9a7e);
test.u_cmp(32'h000005c9,32'h00000002,32'h00000b92);
test.u_cmp(32'h000002e4,32'h00000002,32'h000005c8);
test.u_cmp(32'h0000050e,32'h00000079,32'h0002639e);
test.u_cmp(32'h00000287,32'h00000079,32'h000131cf);
test.u_cmp(32'h000006c4,32'h00000067,32'h0002b8dc);
test.u_cmp(32'h00000362,32'h00000067,32'h00015c6e);
test.u_cmp(32'h00000631,32'h00000062,32'h00025ec2);
test.u_cmp(32'h7ffffce7,32'h00000062,32'hfffed06e);
test.u_cmp(32'h000004f3,32'h00000009,32'h00002c8b);
test.u_cmp(32'h7ffffd86,32'h00000009,32'h7fffe9b6);
test.u_cmp(32'h00000544,32'h0000007b,32'h000287ac);
test.u_cmp(32'h000002a2,32'h0000007b,32'h000143d6);
test.u_cmp(32'h000006d1,32'h00000067,32'h0002be17);
test.u_cmp(32'h7ffffc97,32'h00000067,32'h7ffea0c1);
test.u_cmp(32'h00000635,32'h0000004e,32'h0001e426);
test.u_cmp(32'h7ffffce5,32'h0000004e,32'hffff0dc6);
test.u_cmp(32'h00000272,32'h0000003d,32'h0000952a);
test.u_cmp(32'h7ffffec7,32'h0000003d,32'h7fffb56b);
test.u_cmp(32'h0000061c,32'h00000030,32'h00012540);
test.u_cmp(32'h7ffffcf2,32'h00000030,32'hffff6d60);
test.u_cmp(32'h00000187,32'h00000024,32'h000036fc);
test.u_cmp(32'h000000c3,32'h00000024,32'h00001b6c);
test.u_cmp(32'h0000041f,32'h00000071,32'h0001d1af);
test.u_cmp(32'h7ffffdf0,32'h00000071,32'h7fff16f0);
test.u_cmp(32'h00000478,32'h00000023,32'h00009c68);
test.u_cmp(32'h7ffffdc4,32'h00000023,32'h7fffb1cc);
test.u_cmp(32'h0000011e,32'h00000028,32'h00002cb0);
test.u_cmp(32'h7fffff71,32'h00000028,32'hffffe9a8);
test.u_cmp(32'h000006c7,32'h0000001a,32'h0000b036);
test.u_cmp(32'h00000363,32'h0000001a,32'h0000580e);
test.u_cmp(32'h00000731,32'h00000039,32'h000199e9);
test.u_cmp(32'h7ffffc67,32'h00000039,32'h7fff32ef);
test.u_cmp(32'h000001cc,32'h00000022,32'h00003d18);
test.u_cmp(32'h000000e6,32'h00000022,32'h00001e8c);
test.u_cmp(32'h000006f3,32'h00000019,32'h0000adbb);
test.u_cmp(32'h7ffffc86,32'h00000019,32'h7fffa916);
test.u_cmp(32'h0000073c,32'h00000039,32'h00019c5c);
test.u_cmp(32'h7ffffc62,32'h00000039,32'h7fff31d2);
test.u_cmp(32'h00000331,32'h0000004a,32'h0000ec2a);
test.u_cmp(32'h7ffffe67,32'h0000004a,32'hffff89c6);
test.u_cmp(32'h0000074d,32'h00000045,32'h0001f7c1);
test.u_cmp(32'h000003a6,32'h00000045,32'h0000fbbe);
test.u_cmp(32'h000000d4,32'h00000079,32'h00006434);
test.u_cmp(32'h7fffff96,32'h00000079,32'h7fffcde6);
test.u_cmp(32'h000003cb,32'h0000001e,32'h000071ca);
test.u_cmp(32'h000001e5,32'h0000001e,32'h000038d6);
test.u_cmp(32'h000000f2,32'h00000007,32'h0000069e);
test.u_cmp(32'h7fffff87,32'h00000007,32'h7ffffcb1);
test.u_cmp(32'h000002c4,32'h00000069,32'h00012264);
test.u_cmp(32'h00000162,32'h00000069,32'h00009132);
test.u_cmp(32'h000001b1,32'h00000072,32'h0000c0d2);
test.u_cmp(32'h7fffff27,32'h00000072,32'hffff9f5e);
test.u_cmp(32'h00000393,32'h0000001c,32'h00006414);
test.u_cmp(32'h7ffffe36,32'h0000001c,32'hffffcde8);
test.u_cmp(32'h000000e4,32'h00000029,32'h00002484);
test.u_cmp(32'h00000072,32'h00000029,32'h00001242);
test.u_cmp(32'h000006b9,32'h00000035,32'h0001644d);
test.u_cmp(32'h7ffffca3,32'h00000035,32'h7fff4dbf);
test.u_cmp(32'h000001ae,32'h00000023,32'h00003aca);
test.u_cmp(32'h000000d7,32'h00000023,32'h00001d65);
test.u_cmp(32'h000006eb,32'h00000019,32'h0000acf3);
test.u_cmp(32'h00000375,32'h00000019,32'h0000566d);
test.u_cmp(32'h0000073a,32'h00000039,32'h00019bea);
test.u_cmp(32'h7ffffc63,32'h00000039,32'h7fff320b);
test.u_cmp(32'h000001ce,32'h00000022,32'h00003d5c);
test.u_cmp(32'h000000e7,32'h00000022,32'h00001eae);
test.u_cmp(32'h000006f3,32'h00000037,32'h00017e35);
test.u_cmp(32'h7ffffc86,32'h00000037,32'h7fff40ca);
test.u_cmp(32'h00000344,32'h0000004b,32'h0000f4ec);
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
test.u_cmp(32'h00000751,32'h00000045,32'h0001f8d5);
test.u_cmp(32'h7ffffc57,32'h00000045,32'h7fff0373);
test.u_cmp(32'h000000d5,32'h00000079,32'h000064ad);
test.u_cmp(32'h7fffff95,32'h00000079,32'h7fffcd6d);
test.u_cmp(32'h00000136,32'h0000005a,32'h00006cfc);
test.u_cmp(32'h7fffff65,32'h0000005a,32'hffffc982);
test.u_cmp(32'h000007ce,32'h00000041,32'h0001fb4e);
test.u_cmp(32'h000003e7,32'h00000041,32'h0000fda7);
test.u_cmp(32'h0000020c,32'h00000010,32'h000020c0);
test.u_cmp(32'h00000106,32'h00000010,32'h00001060);
test.u_cmp(32'h0000027d,32'h0000006c,32'h00010cbc);
test.u_cmp(32'h7ffffec1,32'h0000006c,32'hffff796c);
test.u_cmp(32'h000001a0,32'h0000005d,32'h00009720);
test.u_cmp(32'h7fffff30,32'h0000005d,32'h7fffb470);
test.u_cmp(32'h00000518,32'h00000028,32'h0000cbc0);
test.u_cmp(32'h7ffffd74,32'h00000028,32'hffff9a20);
test.u_cmp(32'h000003ba,32'h00000062,32'h00016d34);
test.u_cmp(32'h7ffffe23,32'h00000062,32'hffff4966);
test.u_cmp(32'h000001ef,32'h00000060,32'h0000b9a0);
test.u_cmp(32'h000000f7,32'h00000060,32'h00005ca0);
test.u_cmp(32'h000007fc,32'h00000040,32'h0001ff00);
test.u_cmp(32'h7ffffc02,32'h00000040,32'hffff0080);
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
test.u_cmp(32'h00000140,32'h0000005a,32'h00007080);
test.u_cmp(32'h7fffff60,32'h0000005a,32'hffffc7c0);
test.u_cmp(32'h00000530,32'h00000029,32'h0000d4b0);
test.u_cmp(32'h7ffffd68,32'h00000029,32'h7fff95a8);
test.u_cmp(32'h000003b4,32'h0000004e,32'h000120d8);
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
test.u_cmp(32'h0000076d,32'h00000044,32'h0001f8f4);
test.u_cmp(32'h000003b6,32'h00000044,32'h0000fc58);
test.u_cmp(32'h000000dc,32'h00000057,32'h00004ac4);
test.u_cmp(32'h7fffff92,32'h00000057,32'h7fffda9e);
test.u_cmp(32'h000007b7,32'h0000006e,32'h000350a2);
test.u_cmp(32'h7ffffc24,32'h0000006e,32'hfffe5778);
test.u_cmp(32'h0000066e,32'h00000064,32'h000282f8);
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
test.u_cmp(32'h000004e4,32'h00000009,32'h00002c04);
test.u_cmp(32'h00000272,32'h00000009,32'h00001602);
test.u_cmp(32'h00000547,32'h0000007b,32'h0002891d);
test.u_cmp(32'h000002a3,32'h0000007b,32'h00014451);
test.u_cmp(32'h000006d2,32'h00000049,32'h0001f1e2);
test.u_cmp(32'h7ffffc97,32'h00000049,32'h7fff070f);
test.u_cmp(32'h0000024b,32'h00000012,32'h00002946);
test.u_cmp(32'h00000125,32'h00000012,32'h0000149a);
test.u_cmp(32'h00000092,32'h00000004,32'h00000248);
test.u_cmp(32'h7fffffb7,32'h00000004,32'hfffffedc);
test.u_cmp(32'h00000024,32'h00000001,32'h00000024);
test.u_cmp(32'h00000012,32'h00000001,32'h00000012);
test.u_cmp(32'h00000009,32'h00000030,32'h000001b0);
test.u_cmp(32'h00000004,32'h00000030,32'h000000c0);
test.u_cmp(32'h00000682,32'h00000034,32'h00015268);
test.u_cmp(32'h00000341,32'h00000034,32'h0000a934);
test.u_cmp(32'h000001a0,32'h00000023,32'h000038e0);
test.u_cmp(32'h000000d0,32'h00000023,32'h00001c70);
test.u_cmp(32'h00000418,32'h00000071,32'h0001ce98);
test.u_cmp(32'h7ffffdf4,32'h00000071,32'h7fff18b4);
test.u_cmp(32'h0000047a,32'h00000023,32'h00009cae);
test.u_cmp(32'h7ffffdc3,32'h00000023,32'h7fffb1a9);
test.u_cmp(32'h0000011e,32'h00000028,32'h00002cb0);
test.u_cmp(32'h7fffff71,32'h00000028,32'hffffe9a8);
test.u_cmp(32'h00000439,32'h00000072,32'h0001e162);
test.u_cmp(32'h7ffffde3,32'h00000072,32'hffff0f16);
test.u_cmp(32'h00000471,32'h0000000d,32'h000039bd);
test.u_cmp(32'h7ffffdc7,32'h0000000d,32'h7fffe31b);
test.u_cmp(32'h0000079c,32'h0000003c,32'h0001c890);
test.u_cmp(32'h7ffffc32,32'h0000003c,32'hffff1bb8);
test.u_cmp(32'h00000319,32'h00000049,32'h0000e221);
test.u_cmp(32'h7ffffe73,32'h00000049,32'h7fff8ecb);
test.u_cmp(32'h00000747,32'h00000045,32'h0001f623);
test.u_cmp(32'h000003a3,32'h00000045,32'h0000faef);
test.u_cmp(32'h0000022e,32'h00000011,32'h0000250e);
test.u_cmp(32'h00000117,32'h00000011,32'h00001287);
test.u_cmp(32'h00000275,32'h00000044,32'h0000a714);
test.u_cmp(32'h7ffffec5,32'h00000044,32'hffffac54);
test.u_cmp(32'h0000071e,32'h00000069,32'h0002eb4e);
test.u_cmp(32'h7ffffc71,32'h00000069,32'h7ffe8a59);
test.u_cmp(32'h00000648,32'h00000063,32'h00026dd8);
test.u_cmp(32'h00000324,32'h00000063,32'h000136ec);
test.u_cmp(32'h000004ee,32'h00000027,32'h0000c042);
test.u_cmp(32'h00000277,32'h00000027,32'h00006021);
test.u_cmp(32'h000003c5,32'h00000061,32'h00016da5);
test.u_cmp(32'h000001e2,32'h00000061,32'h0000b6a2);
test.u_cmp(32'h000001f2,32'h00000070,32'h0000d9e0);
test.u_cmp(32'h7fffff07,32'h00000070,32'hffff9310);
test.u_cmp(32'h00000383,32'h0000001c,32'h00006254);
test.u_cmp(32'h000001c1,32'h0000001c,32'h0000311c);
test.u_cmp(32'h000000e0,32'h00000029,32'h000023e0);
test.u_cmp(32'h00000070,32'h00000029,32'h000011f0);
test.u_cmp(32'h000006b8,32'h0000001b,32'h0000b568);
test.u_cmp(32'h7ffffca4,32'h0000001b,32'h7fffa54c);
test.u_cmp(32'h000005d2,32'h0000007f,32'h0002e32e);
test.u_cmp(32'h7ffffd17,32'h0000007f,32'h7ffe8e69);
test.u_cmp(32'h0000040b,32'h00000010,32'h000040b0);
test.u_cmp(32'h00000205,32'h00000010,32'h00002050);
test.u_cmp(32'h00000782,32'h0000003c,32'h0001c278);
test.u_cmp(32'h000003c1,32'h0000003c,32'h0000e13c);
test.u_cmp(32'h00000320,32'h00000049,32'h0000e420);
test.u_cmp(32'h7ffffe70,32'h00000049,32'h7fff8df0);
test.u_cmp(32'h00000748,32'h0000006b,32'h00030b18);
test.u_cmp(32'h000003a4,32'h0000006b,32'h0001858c);
test.u_cmp(32'h000004ae,32'h0000000b,32'h0000337a);
test.u_cmp(32'h00000257,32'h0000000b,32'h000019bd);
test.u_cmp(32'h000007ab,32'h0000003d,32'h0001d3bf);
test.u_cmp(32'h000003d5,32'h0000003d,32'h0000e9c1);
test.u_cmp(32'h00000316,32'h00000067,32'h00013dda);
test.u_cmp(32'h7ffffe75,32'h00000067,32'h7fff6113);
test.u_cmp(32'h000001c6,32'h0000005f,32'h0000a87a);
test.u_cmp(32'h000000e3,32'h0000005f,32'h0000543d);
test.u_cmp(32'h000007f2,32'h00000070,32'h000379e0);
test.u_cmp(32'h7ffffc07,32'h00000070,32'hfffe4310);
test.u_cmp(32'h0000067d,32'h00000064,32'h000288d4);
test.u_cmp(32'h7ffffcc1,32'h00000064,32'hfffebb64);
test.u_cmp(32'h000004e0,32'h00000009,32'h00002be0);
test.u_cmp(32'h00000270,32'h00000009,32'h000015f0);
test.u_cmp(32'h000007b8,32'h0000003d,32'h0001d6d8);
test.u_cmp(32'h7ffffc24,32'h0000003d,32'h7fff1494);
test.u_cmp(32'h00000312,32'h00000049,32'h0000e022);
test.u_cmp(32'h7ffffe77,32'h00000049,32'h7fff8fef);
test.u_cmp(32'h00000745,32'h0000006b,32'h000309d7);
test.u_cmp(32'h000003a2,32'h0000006b,32'h000184b6);
test.u_cmp(32'h00000652,32'h00000063,32'h000271b6);
test.u_cmp(32'h7ffffcd7,32'h00000063,32'h7ffec725);
test.u_cmp(32'h000004eb,32'h00000009,32'h00002c43);
test.u_cmp(32'h00000275,32'h00000009,32'h0000161d);
test.u_cmp(32'h000007ba,32'h0000003d,32'h0001d752);
test.u_cmp(32'h7ffffc23,32'h0000003d,32'h7fff1457);
test.u_cmp(32'h00000312,32'h00000049,32'h0000e022);
test.u_cmp(32'h7ffffe77,32'h00000049,32'h7fff8fef);
test.u_cmp(32'h000005bb,32'h0000002d,32'h000101df);
test.u_cmp(32'h7ffffd22,32'h0000002d,32'h7fff7efa);
test.u_cmp(32'h00000392,32'h00000063,32'h00016176);
test.u_cmp(32'h7ffffe37,32'h00000063,32'h7fff4f45);
test.u_cmp(32'h000001e5,32'h00000060,32'h0000b5e0);
test.u_cmp(32'h000000f2,32'h00000060,32'h00005ac0);
test.u_cmp(32'h000007fa,32'h00000070,32'h00037d60);
test.u_cmp(32'h7ffffc03,32'h00000070,32'hfffe4150);
test.u_cmp(32'h0000067f,32'h00000064,32'h0002899c);
test.u_cmp(32'h7ffffcc0,32'h00000064,32'hfffebb00);
test.u_cmp(32'h000004e0,32'h00000009,32'h00002be0);
test.u_cmp(32'h00000270,32'h00000009,32'h000015f0);
test.u_cmp(32'h000007b8,32'h0000003d,32'h0001d6d8);
test.u_cmp(32'h7ffffc24,32'h0000003d,32'h7fff1494);
test.u_cmp(32'h00000312,32'h00000067,32'h00013c3e);
test.u_cmp(32'h7ffffe77,32'h00000067,32'h7fff61e1);
test.u_cmp(32'h000001c5,32'h0000005f,32'h0000a81b);
test.u_cmp(32'h000000e2,32'h0000005f,32'h000053de);
test.u_cmp(32'h0000050e,32'h00000028,32'h0000ca30);
test.u_cmp(32'h00000287,32'h00000028,32'h00006518);
test.u_cmp(32'h000003bd,32'h00000062,32'h00016e5a);
test.u_cmp(32'h7ffffe21,32'h00000062,32'hffff48a2);
test.u_cmp(32'h000001f0,32'h00000060,32'h0000ba00);
test.u_cmp(32'h000000f8,32'h00000060,32'h00005d00);
test.u_cmp(32'h00000504,32'h00000008,32'h00002820);
test.u_cmp(32'h00000282,32'h00000008,32'h00001410);
test.u_cmp(32'h000007c1,32'h0000003e,32'h0001e0be);
test.u_cmp(32'h000003e0,32'h0000003e,32'h0000f040);
test.u_cmp(32'h00000310,32'h00000067,32'h00013b70);
test.u_cmp(32'h00000188,32'h00000067,32'h00009db8);
test.u_cmp(32'h0000033c,32'h00000019,32'h000050dc);
test.u_cmp(32'h7ffffe62,32'h00000019,32'h7fffd792);
test.u_cmp(32'h000000cf,32'h00000006,32'h000004da);
test.u_cmp(32'h00000067,32'h00000006,32'h0000026a);
test.u_cmp(32'h00000033,32'h00000001,32'h00000033);
test.u_cmp(32'h7fffffe6,32'h00000001,32'h7fffffe6);
test.u_cmp(32'h000002f4,32'h00000068,32'h00013320);
test.u_cmp(32'h7ffffe86,32'h00000068,32'hffff6670);
test.u_cmp(32'h000001bd,32'h0000005e,32'h0000a366);
test.u_cmp(32'h7fffff21,32'h0000005e,32'hffffae1e);
test.u_cmp(32'h00000510,32'h00000008,32'h00002880);
test.u_cmp(32'h7ffffd78,32'h00000008,32'hffffebc0);
test.u_cmp(32'h000007c4,32'h0000003e,32'h0001e178);
test.u_cmp(32'h000003e2,32'h0000003e,32'h0000f0bc);
test.u_cmp(32'h000001f1,32'h0000000f,32'h00001d1f);
test.u_cmp(32'h7fffff07,32'h0000000f,32'h7ffff169);
test.u_cmp(32'h0000007c,32'h0000002d,32'h000015cc);
test.u_cmp(32'h7fffffc2,32'h0000002d,32'h7ffff51a);
test.u_cmp(32'h00000461,32'h00000074,32'h0001fbf4);
test.u_cmp(32'h00000230,32'h00000074,32'h0000fdc0);
test.u_cmp(32'h00000699,32'h00000065,32'h00029a5d);
test.u_cmp(32'h7ffffcb3,32'h00000065,32'h7ffeb29f);
test.u_cmp(32'h00000627,32'h0000004e,32'h0001dfe2);
test.u_cmp(32'h00000313,32'h0000004e,32'h0000efca);
test.u_cmp(32'h0000008a,32'h0000007b,32'h0000424e);
test.u_cmp(32'h00000045,32'h0000007b,32'h00002127);
test.u_cmp(32'h00000123,32'h0000005a,32'h0000664e);
test.u_cmp(32'h00000091,32'h0000005a,32'h000032fa);
test.u_cmp(32'h000007c9,32'h00000041,32'h0001fa09);
test.u_cmp(32'h000003e4,32'h00000041,32'h0000fce4);
test.u_cmp(32'h000000f3,32'h00000058,32'h00005388);
test.u_cmp(32'h7fffff86,32'h00000058,32'hffffd610);
test.u_cmp(32'h000007bd,32'h0000006e,32'h00035336);
test.u_cmp(32'h7ffffc21,32'h0000006e,32'hfffe562e);
test.u_cmp(32'h00000670,32'h0000004c,32'h0001e940);
test.u_cmp(32'h00000338,32'h0000004c,32'h0000f4a0);
test.u_cmp(32'h00000264,32'h00000013,32'h00002d6c);
test.u_cmp(32'h00000132,32'h00000013,32'h000016b6);
test.u_cmp(32'h00000099,32'h0000002c,32'h00001a4c);
test.u_cmp(32'h7fffffb3,32'h0000002c,32'hfffff2c4);
test.u_cmp(32'h000006a6,32'h0000001b,32'h0000b382);
test.u_cmp(32'h00000353,32'h0000001b,32'h000059c1);
test.u_cmp(32'h00000729,32'h00000017,32'h0000a4af);
test.u_cmp(32'h00000394,32'h00000017,32'h0000524c);
test.u_cmp(32'h000005b6,32'h0000007e,32'h0002cf94);
test.u_cmp(32'h7ffffd25,32'h0000007e,32'hfffe9836);
test.u_cmp(32'h00000412,32'h00000010,32'h00004120);
test.u_cmp(32'h7ffffdf7,32'h00000010,32'hffffdf70);
test.u_cmp(32'h0000057c,32'h00000054,32'h0001ccb0);
test.u_cmp(32'h7ffffd42,32'h00000054,32'hffff19a8);
test.u_cmp(32'h0000005f,32'h0000007d,32'h00002e63);
test.u_cmp(32'h7fffffd0,32'h0000007d,32'h7fffe890);
test.u_cmp(32'h000003e8,32'h00000031,32'h0000bf68);
test.u_cmp(32'h000001f4,32'h00000031,32'h00005fb4);
test.u_cmp(32'h0000067a,32'h00000033,32'h00014a4e);
test.u_cmp(32'h7ffffcc3,32'h00000033,32'h7fff5ad9);
test.u_cmp(32'h0000019e,32'h0000000c,32'h00001368);
test.u_cmp(32'h7fffff31,32'h0000000c,32'hfffff64c);
test.u_cmp(32'h00000067,32'h0000002d,32'h0000121b);
test.u_cmp(32'h00000033,32'h0000002d,32'h000008f7);
test.u_cmp(32'h00000467,32'h00000074,32'h0001feac);
test.u_cmp(32'h00000233,32'h00000074,32'h0000ff1c);
test.u_cmp(32'h00000466,32'h0000000d,32'h0000392e);
test.u_cmp(32'h00000233,32'h0000000d,32'h00001c97);
test.u_cmp(32'h00000567,32'h0000007c,32'h00029de4);
test.u_cmp(32'h000002b3,32'h0000007c,32'h00014eb4);
test.u_cmp(32'h00000426,32'h00000021,32'h000088e6);
test.u_cmp(32'h00000213,32'h00000021,32'h00004473);
test.u_cmp(32'h000003f7,32'h00000050,32'h00013d30);
test.u_cmp(32'h7ffffe04,32'h00000050,32'hffff6140);
test.u_cmp(32'h0000077e,32'h00000044,32'h0001fd78);
test.u_cmp(32'h7ffffc41,32'h00000044,32'hffff0144);
test.u_cmp(32'h00000220,32'h00000011,32'h00002420);
test.u_cmp(32'h00000110,32'h00000011,32'h00001210);
test.u_cmp(32'h00000088,32'h00000004,32'h00000220);
test.u_cmp(32'h00000044,32'h00000004,32'h00000110);
test.u_cmp(32'h000002de,32'h00000047,32'h0000cb92);
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
test.u_cmp(32'h000005c8,32'h00000002,32'h00000b90);
test.u_cmp(32'h000002e4,32'h00000002,32'h000005c8);
test.u_cmp(32'h000007f2,32'h00000011,32'h00008712);
test.u_cmp(32'h7ffffc07,32'h00000011,32'h7fffbc77);
test.u_cmp(32'h00000584,32'h0000007d,32'h0002b174);
test.u_cmp(32'h000002c2,32'h0000007d,32'h000158ba);
test.u_cmp(32'h0000041f,32'h00000010,32'h000041f0);
test.u_cmp(32'h7ffffdf0,32'h00000010,32'hffffdf00);
test.u_cmp(32'h00000579,32'h0000007c,32'h0002a69c);
test.u_cmp(32'h7ffffd43,32'h0000007c,32'hfffeac74);
test.u_cmp(32'h00000421,32'h0000000f,32'h00003def);
test.u_cmp(32'h00000210,32'h0000000f,32'h00001ef0);
test.u_cmp(32'h00000578,32'h0000007c,32'h0002a620);
test.u_cmp(32'h7ffffd44,32'h0000007c,32'hfffeacf0);
test.u_cmp(32'h00000422,32'h00000021,32'h00008862);
test.u_cmp(32'h00000211,32'h00000021,32'h00004431);
test.u_cmp(32'h00000108,32'h00000008,32'h00000840);
test.u_cmp(32'h00000084,32'h00000008,32'h00000420);
test.u_cmp(32'h00000042,32'h00000002,32'h00000084);
test.u_cmp(32'h00000021,32'h00000002,32'h00000042);
test.u_cmp(32'h000002f0,32'h00000048,32'h0000d380);
test.u_cmp(32'h00000178,32'h00000048,32'h000069c0);
test.u_cmp(32'h0000073c,32'h0000006a,32'h0002fed8);
test.u_cmp(32'h7ffffc62,32'h0000006a,32'hfffe8094);
test.u_cmp(32'h000004b1,32'h00000025,32'h0000ad95);
test.u_cmp(32'h7ffffda7,32'h00000025,32'h7fffa923);
test.u_cmp(32'h0000012c,32'h00000027,32'h00002db4);
test.u_cmp(32'h00000096,32'h00000027,32'h000016da);
test.u_cmp(32'h000006cb,32'h0000001a,32'h0000b09e);
test.u_cmp(32'h00000365,32'h0000001a,32'h00005842);
test.u_cmp(32'h00000732,32'h00000017,32'h0000a57e);
test.u_cmp(32'h7ffffc67,32'h00000017,32'h7fffad41);
test.u_cmp(32'h000005b4,32'h0000007e,32'h0002ce98);
test.u_cmp(32'h7ffffd26,32'h0000007e,32'hfffe98b4);
test.u_cmp(32'h000006ed,32'h00000068,32'h0002d048);
test.u_cmp(32'h00000376,32'h00000068,32'h000167f0);
test.u_cmp(32'h000004c4,32'h00000026,32'h0000b518);
test.u_cmp(32'h00000262,32'h00000026,32'h00005a8c);
test.u_cmp(32'h00000131,32'h00000009,32'h00000ab9);
test.u_cmp(32'h7fffff67,32'h00000009,32'h7ffffa9f);
test.u_cmp(32'h000002b4,32'h00000046,32'h0000bd38);
test.u_cmp(32'h7ffffea6,32'h00000046,32'hffffa164);
test.u_cmp(32'h0000072d,32'h0000006a,32'h0002f8a2);
test.u_cmp(32'h00000396,32'h0000006a,32'h00017c1c);
test.u_cmp(32'h0000064c,32'h0000004d,32'h0001e4dc);
test.u_cmp(32'h00000326,32'h0000004d,32'h0000f26e);
test.u_cmp(32'h0000026d,32'h00000013,32'h00002e17);
test.u_cmp(32'h00000136,32'h00000013,32'h00001702);
test.u_cmp(32'h0000009b,32'h0000002c,32'h00001aa4);
test.u_cmp(32'h7fffffb2,32'h0000002c,32'hfffff298);
test.u_cmp(32'h000006a6,32'h00000035,32'h0001605e);
test.u_cmp(32'h00000353,32'h00000035,32'h0000b02f);
test.u_cmp(32'h00000357,32'h0000004b,32'h0000fa7d);
test.u_cmp(32'h7ffffe54,32'h0000004b,32'h7fff829c);
test.u_cmp(32'h00000756,32'h00000045,32'h0001fa2e);
test.u_cmp(32'h7ffffc55,32'h00000045,32'h7fff02e9);
test.u_cmp(32'h000000d6,32'h00000057,32'h000048ba);
test.u_cmp(32'h7fffff95,32'h00000057,32'h7fffdba3);
test.u_cmp(32'h000007b6,32'h00000042,32'h0001fcec);
test.u_cmp(32'h7ffffc25,32'h00000042,32'hffff018a);
test.u_cmp(32'h00000212,32'h00000010,32'h00002120);
test.u_cmp(32'h7ffffef7,32'h00000010,32'hffffef70);
test.u_cmp(32'h00000084,32'h0000002c,32'h000016b0);
test.u_cmp(32'h00000042,32'h0000002c,32'h00000b58);
test.u_cmp(32'h000006a1,32'h00000035,32'h00015f55);
test.u_cmp(32'h00000350,32'h00000035,32'h0000af90);
test.u_cmp(32'h000001a8,32'h00000023,32'h000039f8);
test.u_cmp(32'h000000d4,32'h00000023,32'h00001cfc);
test.u_cmp(32'h00000416,32'h0000005f,32'h0001842a);
test.u_cmp(32'h7ffffdf5,32'h0000005f,32'h7fff3deb);
test.u_cmp(32'h00000006,32'h00000051,32'h000001e6);
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
test.u_cmp(32'h0000057e,32'h00000005,32'h00001b76);
test.u_cmp(32'h7ffffd41,32'h00000005,32'h7ffff245);
test.u_cmp(32'h00000521,32'h0000007a,32'h000271ba);
test.u_cmp(32'h00000290,32'h0000007a,32'h000138a0);
test.u_cmp(32'h00000437,32'h00000021,32'h00008b17);
test.u_cmp(32'h7ffffde4,32'h00000021,32'h7fffba64);
test.u_cmp(32'h000003f3,32'h00000050,32'h00013bf0);
test.u_cmp(32'h7ffffe06,32'h00000050,32'hffff61e0);
test.u_cmp(32'h00000583,32'h0000002c,32'h0000f284);
test.u_cmp(32'h000002c1,32'h0000002c,32'h0000792c);
test.u_cmp(32'h000003a0,32'h0000004d,32'h00011720);
test.u_cmp(32'h7ffffe30,32'h0000004d,32'h7fff7470);
test.u_cmp(32'h00000768,32'h00000044,32'h0001f7a0);
test.u_cmp(32'h000003b4,32'h00000044,32'h0000fbd0);
test.u_cmp(32'h00000226,32'h00000011,32'h00002486);
test.u_cmp(32'h00000113,32'h00000011,32'h00001243);
test.u_cmp(32'h00000089,32'h00000004,32'h00000224);
test.u_cmp(32'h00000044,32'h00000004,32'h00000110);
test.u_cmp(32'h000002de,32'h00000047,32'h0000cb92);
test.u_cmp(32'h7ffffe91,32'h00000047,32'h7fff9a37);
test.u_cmp(32'h000005c8,32'h0000002e,32'h000109f0);
test.u_cmp(32'h000002e4,32'h0000002e,32'h000084f8);
test.u_cmp(32'h0000038e,32'h00000063,32'h00015fea);
test.u_cmp(32'h000001c7,32'h00000063,32'h0000aff5);
test.u_cmp(32'h000001e4,32'h00000070,32'h0000d3c0);
test.u_cmp(32'h000000f2,32'h00000070,32'h000069e0);
test.u_cmp(32'h00000179,32'h00000074,32'h0000aad4);
test.u_cmp(32'h7fffff43,32'h00000074,32'hffffaa5c);
test.u_cmp(32'h000003a1,32'h00000033,32'h0000b913);
test.u_cmp(32'h000001d0,32'h00000033,32'h00005c70);
test.u_cmp(32'h00000668,32'h00000033,32'h000146b8);
test.u_cmp(32'h00000334,32'h00000033,32'h0000a35c);
test.u_cmp(32'h0000019a,32'h0000000c,32'h00001338);
test.u_cmp(32'h7fffff33,32'h0000000c,32'hfffff664);
test.u_cmp(32'h00000066,32'h00000003,32'h00000132);
test.u_cmp(32'h00000033,32'h00000003,32'h00000099);
test.u_cmp(32'h000002e7,32'h00000068,32'h00012dd8);
test.u_cmp(32'h00000173,32'h00000068,32'h000096b8);
test.u_cmp(32'h00000346,32'h0000001a,32'h0000551c);
test.u_cmp(32'h000001a3,32'h0000001a,32'h00002a8e);
test.u_cmp(32'h0000022f,32'h00000042,32'h0000901e);
test.u_cmp(32'h00000117,32'h00000042,32'h000047ee);
test.u_cmp(32'h0000070c,32'h00000069,32'h0002e3ec);
test.u_cmp(32'h00000386,32'h00000069,32'h000171f6);
test.u_cmp(32'h000004bd,32'h00000025,32'h0000af51);
test.u_cmp(32'h7ffffda1,32'h00000025,32'h7fffa845);
test.u_cmp(32'h0000012f,32'h00000027,32'h00002e29);
test.u_cmp(32'h00000097,32'h00000027,32'h00001701);
test.u_cmp(32'h000006cb,32'h0000001a,32'h0000b09e);
test.u_cmp(32'h00000365,32'h0000001a,32'h00005842);
test.u_cmp(32'h000005ce,32'h0000007f,32'h0002e132);
test.u_cmp(32'h000002e7,32'h0000007f,32'h00017099);
test.u_cmp(32'h000006f4,32'h00000068,32'h0002d320);
test.u_cmp(32'h7ffffc86,32'h00000068,32'hfffe9670);
test.u_cmp(32'h000004c3,32'h00000026,32'h0000b4f2);
test.u_cmp(32'h00000261,32'h00000026,32'h00005a66);
test.u_cmp(32'h000003d0,32'h00000061,32'h000171d0);
test.u_cmp(32'h000001e8,32'h00000061,32'h0000b8e8);
test.u_cmp(32'h0000030c,32'h00000018,32'h00004920);
test.u_cmp(32'h00000186,32'h00000018,32'h00002490);
test.u_cmp(32'h0000023d,32'h0000006e,32'h0000f636);
test.u_cmp(32'h7ffffee1,32'h0000006e,32'hffff84ae);
test.u_cmp(32'h00000370,32'h0000001b,32'h00005cd0);
test.u_cmp(32'h7ffffe48,32'h0000001b,32'h7fffd198);
test.u_cmp(32'h000000dc,32'h0000002a,32'h00002418);
test.u_cmp(32'h7fffff92,32'h0000002a,32'hffffedf4);
test.u_cmp(32'h00000449,32'h0000005d,32'h00018e85);
test.u_cmp(32'h00000224,32'h0000005d,32'h0000c714);
test.u_cmp(32'h00000013,32'h0000007f,32'h0000096d);
test.u_cmp(32'h7ffffff6,32'h0000007f,32'h7ffffb0a);
test.u_cmp(32'h00000105,32'h00000059,32'h00005abd);
test.u_cmp(32'h00000082,32'h00000059,32'h00002d32);
test.u_cmp(32'h0000053e,32'h00000029,32'h0000d6ee);
test.u_cmp(32'h7ffffd61,32'h00000029,32'h7fff9489);
test.u_cmp(32'h0000014f,32'h00000026,32'h000031ba);
test.u_cmp(32'h000000a7,32'h00000026,32'h000018ca);
test.u_cmp(32'h000006d3,32'h00000036,32'h00017082);
test.u_cmp(32'h7ffffc96,32'h00000036,32'hffff47a4);
test.u_cmp(32'h000001b4,32'h0000000d,32'h00001624);
test.u_cmp(32'h7fffff26,32'h0000000d,32'h7ffff4ee);
test.u_cmp(32'h0000006d,32'h0000002d,32'h00001329);
test.u_cmp(32'h00000036,32'h0000002d,32'h0000097e);
test.u_cmp(32'h0000069b,32'h00000034,32'h0001577c);
test.u_cmp(32'h7ffffcb2,32'h00000034,32'hffff5428);
test.u_cmp(32'h000001a6,32'h0000000d,32'h0000156e);
test.u_cmp(32'h000000d3,32'h0000000d,32'h00000ab7);
test.u_cmp(32'h00000069,32'h0000002d,32'h00001275);
test.u_cmp(32'h00000034,32'h0000002d,32'h00000924);
test.u_cmp(32'h0000069a,32'h0000001c,32'h0000b8d8);
test.u_cmp(32'h7ffffcb3,32'h0000001c,32'hffffa394);
test.u_cmp(32'h000005da,32'h00000051,32'h0001d9fa);
test.u_cmp(32'h7ffffd13,32'h00000051,32'h7fff1303);
test.u_cmp(32'h00000077,32'h00000054,32'h0000270c);
test.u_cmp(32'h7fffffc4,32'h00000054,32'hffffec50);
test.u_cmp(32'h00000562,32'h00000005,32'h00001aea);
test.u_cmp(32'h000002b1,32'h00000005,32'h00000d75);
test.u_cmp(32'h000007d8,32'h00000012,32'h00008d30);
test.u_cmp(32'h7ffffc14,32'h00000012,32'hffffb968);
test.u_cmp(32'h00000776,32'h0000003b,32'h0001b832);
test.u_cmp(32'h7ffffc45,32'h0000003b,32'h7fff23e7);
test.u_cmp(32'h000001dd,32'h00000022,32'h00003f5a);
test.u_cmp(32'h7fffff11,32'h00000022,32'hffffe042);
test.u_cmp(32'h00000409,32'h0000005f,32'h00017f57);
test.u_cmp(32'h00000204,32'h0000005f,32'h0000bf7c);
test.u_cmp(32'h00000003,32'h00000051,32'h000000f3);
test.u_cmp(32'h00000001,32'h00000051,32'h00000051);
test.u_cmp(32'h0000057f,32'h00000005,32'h00001b7b);
test.u_cmp(32'h7ffffd40,32'h00000005,32'h7ffff240);
test.u_cmp(32'h00000521,32'h0000007a,32'h000271ba);
test.u_cmp(32'h00000290,32'h0000007a,32'h000138a0);
test.u_cmp(32'h00000437,32'h00000021,32'h00008b17);
test.u_cmp(32'h7ffffde4,32'h00000021,32'h7fffba64);
test.u_cmp(32'h000003f3,32'h00000060,32'h00017b20);
test.u_cmp(32'h7ffffe06,32'h00000060,32'hffff4240);
test.u_cmp(32'h00000303,32'h00000018,32'h00004848);
test.u_cmp(32'h00000181,32'h00000018,32'h00002418);
test.u_cmp(32'h00000240,32'h00000042,32'h00009480);
test.u_cmp(32'h7ffffee0,32'h00000042,32'hffffb5c0);
test.u_cmp(32'h00000710,32'h00000069,32'h0002e590);
test.u_cmp(32'h00000388,32'h00000069,32'h000172c8);
test.u_cmp(32'h000004bc,32'h0000000b,32'h00003414);
test.u_cmp(32'h7ffffda2,32'h0000000b,32'h7fffe5f6);
test.u_cmp(32'h00000551,32'h00000055,32'h0001c3e5);
test.u_cmp(32'h7ffffd57,32'h00000055,32'h7fff1de3);
test.u_cmp(32'h00000055,32'h0000007d,32'h00002981);
test.u_cmp(32'h7fffffd5,32'h0000007d,32'h7fffeb01);
test.u_cmp(32'h000003ea,32'h00000031,32'h0000bfca);
test.u_cmp(32'h000001f5,32'h00000031,32'h00005fe5);
test.u_cmp(32'h0000067a,32'h0000001d,32'h0000bbd2);
test.u_cmp(32'h7ffffcc3,32'h0000001d,32'h7fffa217);
test.u_cmp(32'h0000071e,32'h00000018,32'h0000aad0);
test.u_cmp(32'h7ffffc71,32'h00000018,32'hffffaa98);
test.u_cmp(32'h00000747,32'h00000016,32'h0000a01a);
test.u_cmp(32'h000003a3,32'h00000016,32'h00005002);
test.u_cmp(32'h000005af,32'h00000052,32'h0001d20e);
test.u_cmp(32'h000002d7,32'h00000052,32'h0000e8de);
test.u_cmp(32'h0000006c,32'h00000054,32'h00002370);
test.u_cmp(32'h00000036,32'h00000054,32'h000011b8);
test.u_cmp(32'h0000079b,32'h0000006d,32'h00033cff);
test.u_cmp(32'h7ffffc32,32'h0000006d,32'h7ffe614a);
test.u_cmp(32'h00000667,32'h00000064,32'h0002803c);
test.u_cmp(32'h00000333,32'h00000064,32'h00013fec);
test.u_cmp(32'h0000061a,32'h0000004f,32'h0001e206);
test.u_cmp(32'h7ffffcf3,32'h0000004f,32'h7fff0efd);
test.u_cmp(32'h00000087,32'h0000007b,32'h000040dd);
test.u_cmp(32'h00000043,32'h0000007b,32'h00002031);
test.u_cmp(32'h00000122,32'h0000005a,32'h000065f4);
test.u_cmp(32'h00000091,32'h0000005a,32'h000032fa);
test.u_cmp(32'h00000537,32'h00000029,32'h0000d5cf);
test.u_cmp(32'h7ffffd64,32'h00000029,32'h7fff9504);
test.u_cmp(32'h000003b3,32'h0000004e,32'h0001208a);
test.u_cmp(32'h7ffffe26,32'h0000004e,32'hffff6f94);
test.u_cmp(32'h00000593,32'h00000004,32'h0000164c);
test.u_cmp(32'h7ffffd36,32'h00000004,32'hfffff4d8);
test.u_cmp(32'h000007e4,32'h00000011,32'h00008624);
test.u_cmp(32'h000003f2,32'h00000011,32'h00004312);
test.u_cmp(32'h00000587,32'h0000007d,32'h0002b2eb);
test.u_cmp(32'h000002c3,32'h0000007d,32'h00015937);
test.u_cmp(32'h000006e2,32'h00000048,32'h0001ef90);
test.u_cmp(32'h00000371,32'h00000048,32'h0000f7c8);
test.u_cmp(32'h00000247,32'h0000003e,32'h00008d32);
test.u_cmp(32'h00000123,32'h0000003e,32'h0000467a);
test.u_cmp(32'h000004ef,32'h00000078,32'h00025008);
test.u_cmp(32'h00000277,32'h00000078,32'h000127c8);
test.u_cmp(32'h00000444,32'h0000000e,32'h00003bb8);
test.u_cmp(32'h00000222,32'h0000000e,32'h00001ddc);
test.u_cmp(32'h0000056f,32'h0000007c,32'h0002a1c4);
test.u_cmp(32'h000002b7,32'h0000007c,32'h000150a4);
test.u_cmp(32'h00000424,32'h0000000f,32'h00003e1c);
test.u_cmp(32'h00000212,32'h0000000f,32'h00001f0e);
test.u_cmp(32'h00000789,32'h00000014,32'h000096b4);
test.u_cmp(32'h000003c4,32'h00000014,32'h00004b50);
test.u_cmp(32'h0000059e,32'h00000053,32'h0001d23a);
test.u_cmp(32'h7ffffd31,32'h00000053,32'h7fff16e3);
test.u_cmp(32'h00000068,32'h00000054,32'h00002220);
test.u_cmp(32'h00000034,32'h00000054,32'h00001110);
test.u_cmp(32'h0000079a,32'h00000043,32'h0001fd4e);
test.u_cmp(32'h7ffffc33,32'h00000043,32'h7fff0159);
test.u_cmp(32'h00000219,32'h00000010,32'h00002190);
test.u_cmp(32'h7ffffef3,32'h00000010,32'hffffef30);
test.u_cmp(32'h0000027a,32'h0000006c,32'h00010b78);
test.u_cmp(32'h7ffffec3,32'h0000006c,32'hffff7a44);
test.u_cmp(32'h0000019f,32'h00000073,32'h0000ba6d);
test.u_cmp(32'h7fffff30,32'h00000073,32'h7fffa290);
test.u_cmp(32'h00000398,32'h0000001c,32'h000064a0);
test.u_cmp(32'h7ffffe34,32'h0000001c,32'hffffcdb0);
test.u_cmp(32'h000000e6,32'h00000029,32'h000024d6);
test.u_cmp(32'h00000073,32'h00000029,32'h0000126b);
test.u_cmp(32'h00000447,32'h00000073,32'h0001ebe5);
test.u_cmp(32'h00000223,32'h00000073,32'h0000f5b9);
test.u_cmp(32'h00000692,32'h0000004b,32'h0001ecc6);
test.u_cmp(32'h7ffffcb7,32'h0000004b,32'h7fff099d);
test.u_cmp(32'h0000025b,32'h00000012,32'h00002a66);
test.u_cmp(32'h7ffffed2,32'h00000012,32'hffffeac4);
test.u_cmp(32'h0000026a,32'h00000044,32'h0000a428);
test.u_cmp(32'h00000135,32'h00000044,32'h00005214);
test.u_cmp(32'h0000071b,32'h00000069,32'h0002ea13);
test.u_cmp(32'h7ffffc72,32'h00000069,32'h7ffe8ac2);
test.u_cmp(32'h000004b9,32'h0000000b,32'h000033f3);
test.u_cmp(32'h7ffffda3,32'h0000000b,32'h7fffe601);
test.u_cmp(32'h00000552,32'h0000007b,32'h00028e66);
test.u_cmp(32'h7ffffd57,32'h0000007b,32'h7ffeb8cd);
test.u_cmp(32'h0000042b,32'h0000000f,32'h00003e85);
test.u_cmp(32'h00000215,32'h0000000f,32'h00001f3b);
test.u_cmp(32'h00000576,32'h0000007c,32'h0002a528);
test.u_cmp(32'h7ffffd45,32'h0000007c,32'hfffead6c);
test.u_cmp(32'h000006de,32'h00000067,32'h0002c352);
test.u_cmp(32'h7ffffc91,32'h00000067,32'h7ffe9e57);
test.u_cmp(32'h000004c8,32'h0000000a,32'h00002fd0);
test.u_cmp(32'h00000264,32'h0000000a,32'h000017e8);
test.u_cmp(32'h0000054e,32'h0000007b,32'h00028c7a);
test.u_cmp(32'h000002a7,32'h0000007b,32'h0001463d);
test.u_cmp(32'h0000042c,32'h0000000f,32'h00003e94);
test.u_cmp(32'h00000216,32'h0000000f,32'h00001f4a);
test.u_cmp(32'h0000078b,32'h0000003c,32'h0001c494);
test.u_cmp(32'h000003c5,32'h0000003c,32'h0000e22c);
test.u_cmp(32'h0000031e,32'h00000067,32'h00014112);
test.u_cmp(32'h7ffffe71,32'h00000067,32'h7fff5f77);
test.u_cmp(32'h00000338,32'h00000037,32'h0000b108);
test.u_cmp(32'h7ffffe64,32'h00000037,32'h7fffa77c);
test.u_cmp(32'h000004b2,32'h00000076,32'h00022a0c);
test.u_cmp(32'h7ffffda7,32'h00000076,32'hfffeeafa);
test.u_cmp(32'h00000453,32'h0000000e,32'h00003c8a);
test.u_cmp(32'h7ffffdd6,32'h0000000e,32'hffffe1b4);
test.u_cmp(32'h00000794,32'h0000003c,32'h0001c6b0);
test.u_cmp(32'h7ffffc36,32'h0000003c,32'hffff1ca8);
test.u_cmp(32'h0000031b,32'h00000049,32'h0000e2b3);
test.u_cmp(32'h7ffffe72,32'h00000049,32'h7fff8e82);
test.u_cmp(32'h000005b9,32'h0000002d,32'h00010185);
test.u_cmp(32'h7ffffd23,32'h0000002d,32'h7fff7f27);
test.u_cmp(32'h0000016e,32'h0000000b,32'h00000fba);
test.u_cmp(32'h000000b7,32'h0000000b,32'h000007dd);
test.u_cmp(32'h000002a5,32'h00000046,32'h0000b91e);
test.u_cmp(32'h00000152,32'h00000046,32'h00005c6c);
test.u_cmp(32'h0000072a,32'h00000046,32'h0001f57c);
test.u_cmp(32'h00000395,32'h00000046,32'h0000fabe);
test.u_cmp(32'h000000cb,32'h00000057,32'h000044fd);
test.u_cmp(32'h00000065,32'h00000057,32'h00002253);
test.u_cmp(32'h0000054d,32'h0000002a,32'h0000dea2);
test.u_cmp(32'h000002a6,32'h0000002a,32'h00006f3c);
test.u_cmp(32'h00000153,32'h0000000a,32'h00000d3e);
test.u_cmp(32'h7fffff56,32'h0000000a,32'hfffff95c);
test.u_cmp(32'h000002ac,32'h0000006a,32'h00011b38);
test.u_cmp(32'h00000156,32'h0000006a,32'h00008d9c);
test.u_cmp(32'h000001ab,32'h0000005e,32'h00009cca);
test.u_cmp(32'h000000d5,32'h0000005e,32'h00004e36);
test.u_cmp(32'h000007eb,32'h00000040,32'h0001fac0);
test.u_cmp(32'h000003f5,32'h00000040,32'h0000fd40);
test.u_cmp(32'h00000205,32'h00000040,32'h00008140);
test.u_cmp(32'h00000102,32'h00000040,32'h00004080);
test.u_cmp(32'h000004ff,32'h00000078,32'h00025788);
test.u_cmp(32'h7ffffd80,32'h00000078,32'hfffed400);
test.u_cmp(32'h00000440,32'h0000000e,32'h00003b80);
test.u_cmp(32'h00000220,32'h0000000e,32'h00001dc0);
test.u_cmp(32'h00000790,32'h0000003c,32'h0001c5c0);
test.u_cmp(32'h7ffffc38,32'h0000003c,32'hffff1d20);
test.u_cmp(32'h0000031c,32'h00000067,32'h00014044);
test.u_cmp(32'h7ffffe72,32'h00000067,32'h7fff5fde);
test.u_cmp(32'h00000339,32'h00000037,32'h0000b13f);
test.u_cmp(32'h7ffffe63,32'h00000037,32'h7fffa745);
test.u_cmp(32'h000004b2,32'h0000005a,32'h0001a694);
test.u_cmp(32'h7ffffda7,32'h0000005a,32'hffff2cb6);
test.u_cmp(32'h0000002d,32'h00000052,32'h00000e6a);
test.u_cmp(32'h00000016,32'h00000052,32'h0000070c);
test.u_cmp(32'h0000078c,32'h00000043,32'h0001f9a4);
test.u_cmp(32'h000003c6,32'h00000043,32'h0000fcd2);
test.u_cmp(32'h0000021d,32'h00000040,32'h00008740);
test.u_cmp(32'h7ffffef1,32'h00000040,32'hffffbc40);
test.u_cmp(32'h000004f9,32'h00000058,32'h0001b598);
test.u_cmp(32'h7ffffd83,32'h00000058,32'hffff2508);
test.u_cmp(32'h000002c1,32'h0000003a,32'h00009fba);
test.u_cmp(32'h00000160,32'h0000003a,32'h00004fc0);
test.u_cmp(32'h000004d0,32'h00000077,32'h00023cb0);
test.u_cmp(32'h00000268,32'h00000077,32'h00011e58);
test.u_cmp(32'h000006b4,32'h00000066,32'h0002abb8);
test.u_cmp(32'h7ffffca6,32'h00000066,32'hfffeaa24);
test.u_cmp(32'h0000062d,32'h00000062,32'h00025d3a);
test.u_cmp(32'h00000316,32'h00000062,32'h00012e6c);
test.u_cmp(32'h0000060c,32'h0000004f,32'h0001ddb4);
test.u_cmp(32'h00000306,32'h0000004f,32'h0000eeda);
test.u_cmp(32'h0000027d,32'h00000013,32'h00002f47);
test.u_cmp(32'h7ffffec1,32'h00000013,32'h7fffe853);
test.u_cmp(32'h00000261,32'h0000006c,32'h000100ec);
test.u_cmp(32'h00000130,32'h0000006c,32'h00008040);
test.u_cmp(32'h00000199,32'h0000005d,32'h00009495);
test.u_cmp(32'h7fffff33,32'h0000005d,32'h7fffb587);
test.u_cmp(32'h000007e7,32'h00000040,32'h0001f9c0);
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
test.u_cmp(32'h00000206,32'h00000040,32'h00008180);
test.u_cmp(32'h00000103,32'h00000040,32'h000040c0);
test.u_cmp(32'h00000601,32'h00000020,32'h0000c020);
test.u_cmp(32'h00000300,32'h00000020,32'h00006000);
test.u_cmp(32'h00000600,32'h00000080,32'h00030000);
test.u_cmp(32'h7ffffd00,32'h00000080,32'hfffe8000);
test.u_cmp(32'h00000700,32'h00000068,32'h0002d800);
test.u_cmp(32'h7ffffc80,32'h00000068,32'hfffe9400);
test.u_cmp(32'h00000640,32'h0000004e,32'h0001e780);
test.u_cmp(32'h7ffffce0,32'h0000004e,32'hffff0c40);
test.u_cmp(32'h00000090,32'h00000055,32'h00002fd0);
test.u_cmp(32'h00000048,32'h00000055,32'h000017e8);
test.u_cmp(32'h000007a4,32'h00000042,32'h0001f848);
test.u_cmp(32'h000003d2,32'h00000042,32'h0000fc24);
test.u_cmp(32'h00000217,32'h00000040,32'h000085c0);
test.u_cmp(32'h7ffffef4,32'h00000040,32'hffffbd00);
test.u_cmp(32'h00000605,32'h00000020,32'h0000c0a0);
test.u_cmp(32'h00000302,32'h00000020,32'h00006040);
test.u_cmp(32'h00000701,32'h00000018,32'h0000a818);
test.u_cmp(32'h00000380,32'h00000018,32'h00005400);
test.u_cmp(32'h000005c0,32'h0000007e,32'h0002d480);
test.u_cmp(32'h7ffffd20,32'h0000007e,32'hfffe95c0);
test.u_cmp(32'h00000410,32'h00000010,32'h00004100);
test.u_cmp(32'h7ffffdf8,32'h00000010,32'hffffdf80);
test.u_cmp(32'h0000057c,32'h0000007c,32'h0002a810);
test.u_cmp(32'h7ffffd42,32'h0000007c,32'hfffeabf8);
test.u_cmp(32'h000006df,32'h00000067,32'h0002c3b9);
test.u_cmp(32'h7ffffc90,32'h00000067,32'h7ffe9df0);
test.u_cmp(32'h00000638,32'h00000062,32'h00026170);
test.u_cmp(32'h7ffffce4,32'h00000062,32'hfffecf48);
test.u_cmp(32'h0000060e,32'h00000061,32'h00024b4e);
test.u_cmp(32'h00000307,32'h00000061,32'h000125a7);
test.u_cmp(32'h00000604,32'h00000061,32'h00024784);
test.u_cmp(32'h00000302,32'h00000061,32'h000123c2);
test.u_cmp(32'h00000601,32'h0000004f,32'h0001da4f);
test.u_cmp(32'h00000300,32'h0000004f,32'h0000ed00);
test.u_cmp(32'h00000081,32'h0000007b,32'h00003dfb);
test.u_cmp(32'h00000040,32'h0000007b,32'h00001ec0);
test.u_cmp(32'h000003df,32'h00000032,32'h0000c18e);
test.u_cmp(32'h7ffffe10,32'h00000032,32'hffff9f20);
test.u_cmp(32'h00000489,32'h00000075,32'h0002129d);
test.u_cmp(32'h00000244,32'h00000075,32'h00010914);
test.u_cmp(32'h000006a3,32'h00000066,32'h0002a4f2);
test.u_cmp(32'h00000351,32'h00000066,32'h00015246);
test.u_cmp(32'h00000629,32'h00000062,32'h00025bb2);
test.u_cmp(32'h00000314,32'h00000062,32'h00012da8);
test.u_cmp(32'h0000060b,32'h0000004f,32'h0001dd65);
test.u_cmp(32'h00000305,32'h0000004f,32'h0000ee8b);
test.u_cmp(32'h0000027d,32'h00000013,32'h00002f47);
test.u_cmp(32'h7ffffec1,32'h00000013,32'h7fffe853);
test.u_cmp(32'h0000009f,32'h00000004,32'h0000027c);
test.u_cmp(32'h7fffffb0,32'h00000004,32'hfffffec0);
test.u_cmp(32'h000002d9,32'h00000047,32'h0000ca2f);
test.u_cmp(32'h7ffffe93,32'h00000047,32'h7fff9ac5);
test.u_cmp(32'h00000737,32'h00000046,32'h0001f90a);
test.u_cmp(32'h7ffffc64,32'h00000046,32'hffff0358);
test.u_cmp(32'h000000ce,32'h00000079,32'h0000615e);
test.u_cmp(32'h00000067,32'h00000079,32'h000030af);
test.u_cmp(32'h00000134,32'h00000076,32'h00008df8);
test.u_cmp(32'h7fffff66,32'h00000076,32'hffffb904);
test.u_cmp(32'h0000014d,32'h00000075,32'h00009831);
test.u_cmp(32'h000000a6,32'h00000075,32'h00004bde);
test.u_cmp(32'h00000154,32'h00000075,32'h00009b64);
test.u_cmp(32'h7fffff56,32'h00000075,32'h7fffb24e);
test.u_cmp(32'h000003ab,32'h00000033,32'h0000bb11);
test.u_cmp(32'h000001d5,32'h00000033,32'h00005d6f);
test.u_cmp(32'h0000066a,32'h0000001d,32'h0000ba02);
test.u_cmp(32'h00000335,32'h0000001d,32'h00005d01);
test.u_cmp(32'h000005e6,32'h00000050,32'h0001d7e0);
test.u_cmp(32'h000002f3,32'h00000050,32'h0000ebf0);
test.u_cmp(32'h00000286,32'h0000003c,32'h00009768);
test.u_cmp(32'h00000143,32'h0000003c,32'h00004bb4);
test.u_cmp(32'h00000621,32'h0000001f,32'h0000bdff);
test.u_cmp(32'h00000310,32'h0000001f,32'h00005ef0);
test.u_cmp(32'h00000708,32'h00000018,32'h0000a8c0);
test.u_cmp(32'h00000384,32'h00000018,32'h00005460);
test.u_cmp(32'h000005be,32'h0000007e,32'h0002d384);
test.u_cmp(32'h7ffffd21,32'h0000007e,32'hfffe963e);
test.u_cmp(32'h00000410,32'h00000020,32'h00008200);
test.u_cmp(32'h7ffffdf8,32'h00000020,32'hffffbf00);
test.u_cmp(32'h00000104,32'h00000028,32'h000028a0);
test.u_cmp(32'h00000082,32'h00000028,32'h00001450);
test.u_cmp(32'h000006c1,32'h00000036,32'h00016cb6);
test.u_cmp(32'h00000360,32'h00000036,32'h0000b640);
test.u_cmp(32'h000001b0,32'h00000023,32'h00003b10);
test.u_cmp(32'h7fffff28,32'h00000023,32'h7fffe278);
test.u_cmp(32'h000006ec,32'h00000037,32'h00017cb4);
test.u_cmp(32'h00000376,32'h00000037,32'h0000be5a);
test.u_cmp(32'h00000345,32'h0000004b,32'h0000f537);
test.u_cmp(32'h000001a2,32'h0000004b,32'h00007a76);
test.u_cmp(32'h000005ae,32'h0000002d,32'h0000ff96);
test.u_cmp(32'h000002d7,32'h0000002d,32'h00007fcb);
test.u_cmp(32'h00000395,32'h00000063,32'h0001629f);
test.u_cmp(32'h7ffffe35,32'h00000063,32'h7fff4e7f);
test.u_cmp(32'h0000031a,32'h00000018,32'h00004a70);
test.u_cmp(32'h7ffffe73,32'h00000018,32'hffffdac8);
test.u_cmp(32'h000000c6,32'h00000006,32'h000004a4);
test.u_cmp(32'h00000063,32'h00000006,32'h00000252);
test.u_cmp(32'h000002cf,32'h00000047,32'h0000c769);
test.u_cmp(32'h00000167,32'h00000047,32'h00006391);
test.u_cmp(32'h00000734,32'h0000006a,32'h0002fb88);
test.u_cmp(32'h7ffffc66,32'h0000006a,32'hfffe823c);
test.u_cmp(32'h0000064d,32'h0000004d,32'h0001e529);
test.u_cmp(32'h00000326,32'h0000004d,32'h0000f26e);
test.u_cmp(32'h0000026c,32'h00000013,32'h00002e04);
test.u_cmp(32'h00000136,32'h00000013,32'h00001702);
test.u_cmp(32'h0000009b,32'h00000004,32'h0000026c);
test.u_cmp(32'h7fffffb2,32'h00000004,32'hfffffec8);
test.u_cmp(32'h00000026,32'h0000002f,32'h000006fa);
test.u_cmp(32'h00000013,32'h0000002f,32'h0000037d);
test.u_cmp(32'h00000689,32'h00000034,32'h000153d4);
test.u_cmp(32'h00000344,32'h00000034,32'h0000a9d0);
test.u_cmp(32'h0000035e,32'h00000065,32'h00015416);
test.u_cmp(32'h7ffffe51,32'h00000065,32'h7fff55f5);
test.u_cmp(32'h000001d8,32'h0000005f,32'h0000af28);
test.u_cmp(32'h7fffff14,32'h0000005f,32'h7fffa86c);
test.u_cmp(32'h0000050a,32'h00000028,32'h0000c990);
test.u_cmp(32'h00000285,32'h00000028,32'h000064c8);
test.u_cmp(32'h000003be,32'h00000062,32'h00016ebc);
test.u_cmp(32'h7ffffe21,32'h00000062,32'hffff48a2);
test.u_cmp(32'h00000310,32'h00000018,32'h00004980);
test.u_cmp(32'h7ffffe78,32'h00000018,32'hffffdb40);
test.u_cmp(32'h000000c4,32'h0000002a,32'h00002028);
test.u_cmp(32'h00000062,32'h0000002a,32'h00001014);
test.u_cmp(32'h000006b1,32'h00000035,32'h000162a5);
test.u_cmp(32'h7ffffca7,32'h00000035,32'h7fff4e93);
test.u_cmp(32'h000001ac,32'h00000023,32'h00003a84);
test.u_cmp(32'h000000d6,32'h00000023,32'h00001d42);
test.u_cmp(32'h000006eb,32'h00000037,32'h00017c7d);
test.u_cmp(32'h00000375,32'h00000037,32'h0000be23);
test.u_cmp(32'h00000346,32'h00000065,32'h00014a9e);
test.u_cmp(32'h000001a3,32'h00000065,32'h0000a54f);
test.u_cmp(32'h0000032e,32'h00000019,32'h00004f7e);
test.u_cmp(32'h00000197,32'h00000019,32'h000027bf);
test.u_cmp(32'h00000235,32'h00000042,32'h000091aa);
test.u_cmp(32'h7ffffee5,32'h00000042,32'hffffb70a);
test.u_cmp(32'h0000070e,32'h00000069,32'h0002e4be);
test.u_cmp(32'h00000387,32'h00000069,32'h0001725f);
test.u_cmp(32'h000004bc,32'h00000025,32'h0000af2c);
test.u_cmp(32'h7ffffda2,32'h00000025,32'h7fffa86a);
test.u_cmp(32'h000003d1,32'h0000004f,32'h00012d7f);
test.u_cmp(32'h7ffffe17,32'h0000004f,32'h7fff6919);
test.u_cmp(32'h00000775,32'h0000006c,32'h0003255c);
test.u_cmp(32'h7ffffc45,32'h0000006c,32'hfffe6d1c);
test.u_cmp(32'h0000065e,32'h0000004d,32'h0001ea46);
test.u_cmp(32'h7ffffcd1,32'h0000004d,32'h7fff0add);
test.u_cmp(32'h00000268,32'h00000013,32'h00002db8);
test.u_cmp(32'h00000134,32'h00000013,32'h000016dc);
test.u_cmp(32'h0000009a,32'h0000002c,32'h00001a78);
test.u_cmp(32'h7fffffb3,32'h0000002c,32'hfffff2c4);
test.u_cmp(32'h000006a6,32'h00000035,32'h0001605e);
test.u_cmp(32'h00000353,32'h00000035,32'h0000b02f);
test.u_cmp(32'h00000357,32'h0000004b,32'h0000fa7d);
test.u_cmp(32'h7ffffe54,32'h0000004b,32'h7fff829c);
test.u_cmp(32'h000005aa,32'h00000003,32'h000010fe);
test.u_cmp(32'h000002d5,32'h00000003,32'h0000087f);
test.u_cmp(32'h000007ea,32'h0000003f,32'h0001f296);
test.u_cmp(32'h000003f5,32'h0000003f,32'h0000f94b);
test.u_cmp(32'h00000306,32'h00000049,32'h0000dcb6);
test.u_cmp(32'h00000183,32'h00000049,32'h00006e5b);
test.u_cmp(32'h00000742,32'h00000045,32'h0001f4ca);
test.u_cmp(32'h000003a1,32'h00000045,32'h0000fa65);
test.u_cmp(32'h000000d1,32'h00000079,32'h000062c9);
test.u_cmp(32'h7fffff97,32'h00000079,32'h7fffce5f);
test.u_cmp(32'h00000135,32'h0000005a,32'h00006ca2);
test.u_cmp(32'h7fffff65,32'h0000005a,32'hffffc982);
test.u_cmp(32'h00000532,32'h00000029,32'h0000d502);
test.u_cmp(32'h7ffffd67,32'h00000029,32'h7fff957f);
test.u_cmp(32'h0000014c,32'h0000000a,32'h00000cf8);
test.u_cmp(32'h000000a6,32'h0000000a,32'h0000067c);
test.u_cmp(32'h000002ad,32'h00000046,32'h0000bb4e);
test.u_cmp(32'h00000156,32'h00000046,32'h00005d84);
test.u_cmp(32'h000005d4,32'h00000002,32'h00000ba8);
test.u_cmp(32'h7ffffd16,32'h00000002,32'hfffffa2c);
test.u_cmp(32'h0000050b,32'h00000079,32'h00026233);
test.u_cmp(32'h00000285,32'h00000079,32'h000130dd);
test.u_cmp(32'h0000043d,32'h00000021,32'h00008bdd);
test.u_cmp(32'h7ffffde1,32'h00000021,32'h7fffba01);
test.u_cmp(32'h000003f1,32'h00000050,32'h00013b50);
test.u_cmp(32'h7ffffe07,32'h00000050,32'hffff6230);
test.u_cmp(32'h00000583,32'h0000002c,32'h0000f284);
test.u_cmp(32'h000002c1,32'h0000002c,32'h0000792c);
test.u_cmp(32'h000003a0,32'h00000063,32'h000166e0);
test.u_cmp(32'h7ffffe30,32'h00000063,32'h7fff4c90);
test.u_cmp(32'h000001e8,32'h00000070,32'h0000d580);
test.u_cmp(32'h000000f4,32'h00000070,32'h00006ac0);
test.u_cmp(32'h0000017a,32'h0000005c,32'h000087d8);
test.u_cmp(32'h7fffff43,32'h0000005c,32'hffffbc14);
test.u_cmp(32'h00000521,32'h00000029,32'h0000d249);
test.u_cmp(32'h00000290,32'h00000029,32'h00006910);
test.u_cmp(32'h00000148,32'h00000026,32'h000030b0);
test.u_cmp(32'h000000a4,32'h00000026,32'h00001858);
test.u_cmp(32'h000006d2,32'h00000036,32'h0001704c);
test.u_cmp(32'h7ffffc97,32'h00000036,32'hffff47da);
test.u_cmp(32'h000001b4,32'h00000023,32'h00003b9c);
test.u_cmp(32'h7fffff26,32'h00000023,32'h7fffe232);
test.u_cmp(32'h00000413,32'h0000005f,32'h0001830d);
test.u_cmp(32'h7ffffdf6,32'h0000005f,32'h7fff3e4a);
test.u_cmp(32'h000002fb,32'h00000039,32'h0000a9e3);
test.u_cmp(32'h7ffffe82,32'h00000039,32'h7fffaaf2);
test.u_cmp(32'h0000063e,32'h0000001f,32'h0000c182);
test.u_cmp(32'h7ffffce1,32'h0000001f,32'h7fff9f3f);
test.u_cmp(32'h0000070f,32'h00000038,32'h00018b48);
test.u_cmp(32'h00000387,32'h00000038,32'h0000c588);
test.u_cmp(32'h000001c3,32'h0000000e,32'h000018aa);
test.u_cmp(32'h000000e1,32'h0000000e,32'h00000c4e);
test.u_cmp(32'h00000070,32'h00000003,32'h00000150);
test.u_cmp(32'h7fffffc8,32'h00000003,32'h7fffff58);
test.u_cmp(32'h000002e4,32'h00000068,32'h00012ca0);
test.u_cmp(32'h00000172,32'h00000068,32'h00009650);
test.u_cmp(32'h00000347,32'h0000001a,32'h00005536);
test.u_cmp(32'h000001a3,32'h0000001a,32'h00002a8e);
test.u_cmp(32'h000000d1,32'h00000006,32'h000004e6);
test.u_cmp(32'h7fffff97,32'h00000006,32'hfffffd8a);
test.u_cmp(32'h000002cc,32'h00000047,32'h0000c694);
test.u_cmp(32'h00000166,32'h00000047,32'h0000634a);
test.u_cmp(32'h00000733,32'h00000046,32'h0001f7f2);
test.u_cmp(32'h7ffffc66,32'h00000046,32'hffff03e4);
test.u_cmp(32'h00000233,32'h0000003f,32'h00008a8d);
test.u_cmp(32'h7ffffee6,32'h0000003f,32'h7fffba9a);
test.u_cmp(32'h0000060c,32'h00000030,32'h00012240);
test.u_cmp(32'h00000306,32'h00000030,32'h00009120);
test.u_cmp(32'h0000037d,32'h00000064,32'h00015cd4);
test.u_cmp(32'h7ffffe41,32'h00000064,32'hffff5164);
test.u_cmp(32'h000001e0,32'h00000071,32'h0000d3e0);
test.u_cmp(32'h7fffff10,32'h00000071,32'h7fff9610);
test.u_cmp(32'h00000178,32'h0000005c,32'h00008720);
test.u_cmp(32'h7fffff44,32'h0000005c,32'hffffbc70);
test.u_cmp(32'h000007de,32'h0000006f,32'h00036942);
test.u_cmp(32'h7ffffc11,32'h0000006f,32'h7ffe4b5f);
test.u_cmp(32'h00000678,32'h0000004c,32'h0001eba0);
test.u_cmp(32'h7ffffcc4,32'h0000004c,32'hffff0a30);
test.u_cmp(32'h0000009e,32'h0000007b,32'h00004bea);
test.u_cmp(32'h7fffffb1,32'h0000007b,32'h7fffda0b);
test.u_cmp(32'h000003d8,32'h0000001e,32'h00007350);
test.u_cmp(32'h7ffffe14,32'h0000001e,32'hffffc658);
test.u_cmp(32'h000000f6,32'h00000029,32'h00002766);
test.u_cmp(32'h7fffff85,32'h00000029,32'h7fffec4d);
test.u_cmp(32'h00000443,32'h0000005d,32'h00018c57);
test.u_cmp(32'h00000221,32'h0000005d,32'h0000c5fd);
test.u_cmp(32'h00000011,32'h00000051,32'h00000561);
test.u_cmp(32'h7ffffff7,32'h00000051,32'h7ffffd27);
test.u_cmp(32'h00000785,32'h00000043,32'h0001f7cf);
test.u_cmp(32'h000003c2,32'h00000043,32'h0000fbc6);
test.u_cmp(32'h000000e2,32'h00000058,32'h00004db0);
test.u_cmp(32'h00000071,32'h00000058,32'h000026d8);
test.u_cmp(32'h000007b9,32'h0000006e,32'h0003517e);
test.u_cmp(32'h7ffffc23,32'h0000006e,32'hfffe570a);
test.u_cmp(32'h0000066f,32'h00000064,32'h0002835c);
test.u_cmp(32'h00000337,32'h00000064,32'h0001417c);
test.u_cmp(32'h000004e4,32'h00000027,32'h0000bebc);
test.u_cmp(32'h00000272,32'h00000027,32'h00005f5e);
test.u_cmp(32'h00000139,32'h00000009,32'h00000b01);
test.u_cmp(32'h7fffff63,32'h00000009,32'h7ffffa7b);
test.u_cmp(32'h000002b2,32'h00000046,32'h0000bcac);
test.u_cmp(32'h7ffffea7,32'h00000046,32'hffffa1aa);
test.u_cmp(32'h0000072d,32'h0000006a,32'h0002f8a2);
test.u_cmp(32'h00000396,32'h0000006a,32'h00017c1c);
test.u_cmp(32'h000004b4,32'h0000000b,32'h000033bc);
test.u_cmp(32'h7ffffda6,32'h0000000b,32'h7fffe622);
test.u_cmp(32'h00000553,32'h00000055,32'h0001c48f);
test.u_cmp(32'h7ffffd56,32'h00000055,32'h7fff1d8e);
test.u_cmp(32'h00000055,32'h0000007d,32'h00002981);
test.u_cmp(32'h7fffffd5,32'h0000007d,32'h7fffeb01);
test.u_cmp(32'h00000116,32'h00000059,32'h000060a6);
test.u_cmp(32'h7fffff75,32'h00000059,32'h7fffcfad);
test.u_cmp(32'h0000053a,32'h00000007,32'h00002496);
test.u_cmp(32'h7ffffd63,32'h00000007,32'h7fffedb5);
test.u_cmp(32'h000007ce,32'h00000012,32'h00008c7c);
test.u_cmp(32'h000003e7,32'h00000012,32'h0000463e);
test.u_cmp(32'h0000058d,32'h0000007d,32'h0002b5d9);
test.u_cmp(32'h000002c6,32'h0000007d,32'h00015aae);
test.u_cmp(32'h000006e4,32'h00000048,32'h0001f020);
test.u_cmp(32'h00000372,32'h00000048,32'h0000f810);
test.u_cmp(32'h00000247,32'h00000012,32'h000028fe);
test.u_cmp(32'h00000123,32'h00000012,32'h00001476);
test.u_cmp(32'h0000026f,32'h0000006c,32'h000106d4);
test.u_cmp(32'h00000137,32'h0000006c,32'h00008334);
test.u_cmp(32'h0000019c,32'h0000005d,32'h000095ac);
test.u_cmp(32'h7fffff32,32'h0000005d,32'h7fffb52a);
test.u_cmp(32'h000007e7,32'h00000040,32'h0001f9c0);
test.u_cmp(32'h000003f3,32'h00000040,32'h0000fcc0);
test.u_cmp(32'h00000206,32'h00000040,32'h00008180);
test.u_cmp(32'h00000103,32'h00000040,32'h000040c0);
test.u_cmp(32'h00000601,32'h00000030,32'h00012030);
test.u_cmp(32'h00000300,32'h00000030,32'h00009000);
test.u_cmp(32'h00000380,32'h0000004c,32'h00010a00);
test.u_cmp(32'h7ffffe40,32'h0000004c,32'hffff7b00);
test.u_cmp(32'h000005a0,32'h0000002d,32'h0000fd20);
test.u_cmp(32'h000002d0,32'h0000002d,32'h00007e90);
test.u_cmp(32'h00000398,32'h00000063,32'h000163c8);
test.u_cmp(32'h7ffffe34,32'h00000063,32'h7fff4e1c);
test.u_cmp(32'h000001e6,32'h00000060,32'h0000b640);
test.u_cmp(32'h000000f3,32'h00000060,32'h00005b20);
test.u_cmp(32'h000007fa,32'h00000040,32'h0001fe80);
test.u_cmp(32'h7ffffc03,32'h00000040,32'hffff00c0);
test.u_cmp(32'h000000ff,32'h00000078,32'h00007788);
test.u_cmp(32'h7fffff80,32'h00000078,32'hffffc400);
test.u_cmp(32'h000003c0,32'h0000001e,32'h00007080);
test.u_cmp(32'h000001e0,32'h0000001e,32'h00003840);
test.u_cmp(32'h000000f0,32'h00000029,32'h00002670);
test.u_cmp(32'h7fffff88,32'h00000029,32'h7fffecc8);
test.u_cmp(32'h00000444,32'h00000073,32'h0001ea8c);
test.u_cmp(32'h00000222,32'h00000073,32'h0000f546);

test.cg.next(200);
test.cg.exit;
end

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.