OpenCores
URL https://opencores.org/ocsvn/statled/statled/trunk

Subversion Repositories statled

[/] [statled/] [trunk/] [rtl/] [statled_par.v] - Rev 2

Go to most recent revision | Compare with Previous | Blame | View Log

/******************************************************************************
*  Status LED module
*
*  This is the configuration file for the statled module
*  http://www.opencores.org/cores/statled
*
******************************************************************************/
 
/******************************************************************************
* Clock to ouput used in simulation
******************************************************************************/
parameter tDLY                  = 1;
 
/******************************************************************************
* Clock speed, MHz
******************************************************************************/
parameter STATLED_CLK           = 50_000_000;
 
/******************************************************************************
* LED pulse width, ms
******************************************************************************/
parameter STATLED_PULSE_MS      = 225;  
 
/******************************************************************************
* Number of clocks per pulse width 
******************************************************************************/    
parameter STATLED_PULSE_CLKCNT 	= STATLED_CLK/1000 * STATLED_PULSE_MS;
 
/******************************************************************************
* Codes  
******************************************************************************/
parameter CODE_ONE		= 16'b10_00_00_00_00_00_00_00;
parameter CODE_TWO		= 16'b10_10_00_00_00_00_00_00;
parameter CODE_THREE	= 16'b10_10_10_00_00_00_00_00;
parameter CODE_FOUR		= 16'b10_10_10_10_00_00_00_00;
parameter CODE_FIVE		= 16'b10_10_10_10_10_00_00_00;
parameter CODE_SIX		= 16'b10_10_10_10_10_10_00_00;
parameter CODE_50_50	= 16'b10_10_10_10_10_10_10_10;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.