OpenCores
URL https://opencores.org/ocsvn/usb_ft232h_avalon-mm_interface/usb_ft232h_avalon-mm_interface/trunk

Subversion Repositories usb_ft232h_avalon-mm_interface

[/] [usb_ft232h_avalon-mm_interface/] [trunk/] [testbench/] [altera_project/] [test_usb_ft232h/] [test_usb_ft232h.qsf] - Rev 6

Compare with Previous | Blame | View Log

# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2016 Altera Corporation. All rights reserved.
# Your use of Altera Corporation's design tools, logic functions 
# and other software and tools, and its AMPP partner logic 
# functions, and any output files from any of the foregoing 
# (including device programming or simulation files), and any 
# associated documentation or information are expressly subject 
# to the terms and conditions of the Altera Program License 
# Subscription Agreement, the Altera Quartus Prime License Agreement,
# the Altera MegaCore Function License Agreement, or other 
# applicable license agreement, including, without limitation, 
# that your use is for the sole purpose of programming logic 
# devices manufactured by Altera and sold by Altera or its 
# authorized distributors.  Please refer to the applicable 
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus Prime
# Version 16.0.2 Build 222 07/20/2016 SJ Lite Edition
# Date created = 15:14:22  March 14, 2017
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
#               test_usb_ft232h_assignment_defaults.qdf
#    If this file doesn't exist, see file:
#               assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
#    file is updated automatically by the Quartus Prime software
#    and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #


set_global_assignment -name FAMILY "Cyclone IV E"
set_global_assignment -name DEVICE EP4CE22E22C8
set_global_assignment -name TOP_LEVEL_ENTITY test_usb_ft232h
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.2
set_global_assignment -name PROJECT_CREATION_TIME_DATE "15:14:22  MARCH 14, 2017"
set_global_assignment -name LAST_QUARTUS_VERSION "16.1.2 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
set_location_assignment PIN_114 -to USB_DATA[0]
set_location_assignment PIN_115 -to USB_DATA[1]
set_location_assignment PIN_120 -to USB_DATA[2]
set_location_assignment PIN_121 -to USB_DATA[3]
set_location_assignment PIN_132 -to USB_DATA[4]
set_location_assignment PIN_133 -to USB_DATA[5]
set_location_assignment PIN_135 -to USB_DATA[6]
set_location_assignment PIN_137 -to USB_DATA[7]
set_location_assignment PIN_49 -to DRAM_DQ[0]
set_location_assignment PIN_50 -to DRAM_DQ[1]
set_location_assignment PIN_51 -to DRAM_DQ[2]
set_location_assignment PIN_58 -to DRAM_DQ[3]
set_location_assignment PIN_59 -to DRAM_DQ[4]
set_location_assignment PIN_60 -to DRAM_DQ[5]
set_location_assignment PIN_66 -to DRAM_DQ[6]
set_location_assignment PIN_67 -to DRAM_DQ[7]
set_location_assignment PIN_126 -to USB_CLK
set_location_assignment PIN_136 -to USB_OE_N
set_location_assignment PIN_127 -to USB_RXF_N
set_location_assignment PIN_128 -to USB_TXE_N
set_location_assignment PIN_125 -to USB_RD_N
set_location_assignment PIN_141 -to USB_WR_N
set_location_assignment PIN_119 -to USB_SIWU_N
set_location_assignment PIN_129 -to USB_PWREN_N
set_location_assignment PIN_52 -to CLOCK_IN
set_location_assignment PIN_68 -to DRAM_ADDR[1]
set_location_assignment PIN_69 -to DRAM_ADDR[2]
set_location_assignment PIN_71 -to DRAM_ADDR[3]
set_location_assignment PIN_72 -to DRAM_ADDR[4]
set_location_assignment PIN_76 -to DRAM_ADDR[5]
set_location_assignment PIN_77 -to DRAM_ADDR[6]
set_location_assignment PIN_80 -to DRAM_ADDR[7]
set_location_assignment PIN_83 -to DRAM_ADDR[8]
set_location_assignment PIN_85 -to DRAM_ADDR[9]
set_location_assignment PIN_86 -to DRAM_ADDR[10]
set_location_assignment PIN_87 -to DRAM_ADDR[11]
set_location_assignment PIN_65 -to DRAM_ADDR[0]
set_location_assignment PIN_42 -to DRAM_CKE
set_location_assignment PIN_43 -to DRAM_CLK
set_location_assignment PIN_44 -to DRAM_CS_N
set_location_assignment PIN_64 -to DRAM_RAS_N
set_location_assignment PIN_46 -to DRAM_DQM
set_location_assignment PIN_31 -to DRAM_WE_N
set_location_assignment PIN_39 -to DRAM_CAS_N
set_location_assignment PIN_32 -to DRAM_BA[0]
set_location_assignment PIN_33 -to DRAM_BA[1]
set_location_assignment PIN_53 -to RESET_N
set_location_assignment PIN_100 -to DAC_SPI_CS_N[1]
set_location_assignment PIN_106 -to DAC_SPI_CS_N[2]
set_location_assignment PIN_105 -to DAC_SPI_CS_N[3]
set_location_assignment PIN_104 -to DAC_SPI_CS_N[4]
set_location_assignment PIN_103 -to DAC_SPI_CS_N[5]
set_location_assignment PIN_98 -to DAC_SPI_MOSI
set_location_assignment PIN_99 -to DAC_SPI_CS_N[0]
set_location_assignment PIN_91 -to MCU_MISO
set_location_assignment PIN_111 -to MCU_MOSI
set_location_assignment PIN_112 -to MCU_SCLK
set_location_assignment PIN_110 -to MCU_SS_N
set_location_assignment PIN_28 -to ADC_SDI
set_location_assignment PIN_25 -to ADC_SDO
set_location_assignment PIN_24 -to ADC_SDOFS
set_location_assignment PIN_23 -to ADC_SCLK
set_location_assignment PIN_113 -to MCU_CLOCK
set_location_assignment PIN_144 -to FLASH_SCLK
set_location_assignment PIN_143 -to FLASH_MOSI
set_location_assignment PIN_142 -to FLASH_MISO
set_location_assignment PIN_7 -to FLASH_CS_N
set_location_assignment PIN_10 -to STATUS_LED
set_location_assignment PIN_54 -to AD_DATA[0]
set_location_assignment PIN_55 -to AD_DATA[1]
set_location_assignment PIN_88 -to AD_DATA[2]
set_location_assignment PIN_89 -to AD_DATA[3]
set_location_assignment PIN_90 -to AD_DATA[4]
set_location_assignment PIN_11 -to AD_DATA[5]
set_location_assignment PIN_101 -to AD_CS_N
set_location_assignment PIN_30 -to AD_SCK
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_DATA -disable
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_DATA -disable
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_RXF_N -disable
set_instance_assignment -name FAST_INPUT_REGISTER ON -to USB_TXE_N -disable
set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to USB_OE_N -disable
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_RD_N -disable
set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to USB_WR_N -disable
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to USB_CLK
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to "pll2:inst1|c1"
set_instance_assignment -name GLOBAL_SIGNAL GLOBAL_CLOCK -to "pll2:inst1|c0"
set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005
set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON
set_global_assignment -name QSYS_FILE sopc.qsys
set_global_assignment -name SYSTEMVERILOG_FILE usb_fifos_avalon_mm_interface.sv
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_transmitter.sv
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_receiver.sv
set_global_assignment -name SYSTEMVERILOG_FILE pipeline.sv
set_global_assignment -name SYSTEMVERILOG_FILE ft232h_fifos_interface.sv
set_global_assignment -name SIGNALTAP_FILE stp1.stp
set_global_assignment -name SYSTEMVERILOG_FILE usb_ft232h.sv
set_global_assignment -name SDC_FILE test_usb_ft232h.out.sdc
set_global_assignment -name BDF_FILE test_usb_ft232h.bdf
set_global_assignment -name QIP_FILE pll1.qip
set_global_assignment -name QIP_FILE pll2.qip
set_global_assignment -name CDF_FILE test_usb_ft232h.cdf
set_global_assignment -name QIP_FILE pll_stp.qip
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.