OpenCores
URL https://opencores.org/ocsvn/vhdl-pipeline-mips/vhdl-pipeline-mips/trunk

Subversion Repositories vhdl-pipeline-mips

[/] [vhdl-pipeline-mips/] [trunk/] [shared_components/] [full_adder.vhd] - Rev 2

Compare with Previous | Blame | View Log

--
-- full_adder
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
 
entity FULL_ADDER is 
    port(
	        X	: in	std_logic;
	        Y	: in	std_logic;
	        CIN	: in	std_logic;
	        COUT	: out	std_logic;
	        R	: out	std_logic
        );
end FULL_ADDER;
 
architecture FULL_ADDER_ARC of FULL_ADDER is
 
 
signal G,P,K : std_logic;
 
begin
	G <= X and Y;
	P <= X xor Y;
	K <= X nor Y;
	COUT <= G or ( P and CIN );
	R <= P xor CIN;    
end FULL_ADDER_ARC;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.