OpenCores
URL https://opencores.org/ocsvn/xmatchpro/xmatchpro/trunk

Subversion Repositories xmatchpro

[/] [xmatchpro/] [trunk/] [xmw4-comdec/] [prj/] [Synplify/] [xmw2-comdec.prj] - Rev 8

Compare with Previous | Blame | View Log

#-- Synopsys, Inc.
#-- Version 9.6.2
#-- Project file D:\netlist\xmw2-comdec\prj\Synplify\xmw2-comdec.prj
#-- Written on Fri Jul 24 11:02:47 2009


#add_file options
add_file -vhdl -lib xil_lib "../../lib/xil_lib/DP_RAM_XILINX_256.vhd"
add_file -vhdl -lib xil_lib "../../lib/xil_lib/DP_RAM_XILINX_512.vhd"
add_file -vhdl -lib xil_lib "../../lib/xil_lib/DP_RAM_XILINX_MASK.vhd"
add_file -vhdl -lib xil_lib "../../lib/xil_lib/xil_comp.vhd"
add_file -vhdl -lib dzx "../../lib/dzx/attributes_pkg.vhd"
add_file -vhdl -lib dzx "../../lib/dzx/bit_arith_pkg.vhd"
add_file -vhdl -lib dzx "../../lib/dzx/bit_arith_pkg_body.vhd"
add_file -vhdl -lib dzx "../../lib/dzx/bit_utils_pkg.vhd"
add_file -vhdl -lib dzx "../../lib/dzx/bit_utils_pkg_body.vhd"
add_file -vhdl -lib work "../../src/reg_temp.vhd"
add_file -vhdl -lib work "../../src/tech_package.vhd"
add_file -vhdl -lib work "../../src/mux_ram.vhd"
add_file -vhdl -lib work "../../src/sync_ram_register.vhd"
add_file -vhdl -lib work "../../src/location_equal.vhd"
add_file -vhdl -lib work "../../src/ff_finish_decoding.vhd"
add_file -vhdl -lib work "../../src/out_register.vhd"
add_file -vhdl -lib work "../../src/decode_mt_2.vhd"
add_file -vhdl -lib work "../../src/decode4_16_inv.vhd"
add_file -vhdl -lib work "../../src/decomp_assem_9.vhd"
add_file -vhdl -lib work "../../src/decode_miss_2.vhd"
add_file -vhdl -lib work "../../src/length_selection_2.vhd"
add_file -vhdl -lib work "../../src/max_pbc_length_2.vhd"
add_file -vhdl -lib work "../../src/mask_bit.vhd"
add_file -vhdl -lib work "../../src/mask_word.vhd"
add_file -vhdl -lib work "../../src/full_match_d.vhd"
add_file -vhdl -lib work "../../src/miss_type_coder.vhd"
add_file -vhdl -lib work "../../src/decomp_decode_4.vhd"
add_file -vhdl -lib work "../../src/latch6.vhd"
add_file -vhdl -lib work "../../src/latch7.vhd"
add_file -vhdl -lib work "../../src/latch133.vhd"
add_file -vhdl -lib work "../../src/pointer_first.vhd"
add_file -vhdl -lib work "../../src/pointer_1.vhd"
add_file -vhdl -lib work "../../src/pointer_2.vhd"
add_file -vhdl -lib work "../../src/pointer_3.vhd"
add_file -vhdl -lib work "../../src/pointer_4.vhd"
add_file -vhdl -lib work "../../src/pointer_5.vhd"
add_file -vhdl -lib work "../../src/pointer_6.vhd"
add_file -vhdl -lib work "../../src/pointer_7.vhd"
add_file -vhdl -lib work "../../src/pointer_8.vhd"
add_file -vhdl -lib work "../../src/pointer_9.vhd"
add_file -vhdl -lib work "../../src/pointer_10.vhd"
add_file -vhdl -lib work "../../src/pointer_11.vhd"
add_file -vhdl -lib work "../../src/pointer_12.vhd"
add_file -vhdl -lib work "../../src/pointer_13.vhd"
add_file -vhdl -lib work "../../src/pointer_14.vhd"
add_file -vhdl -lib work "../../src/pointer_15.vhd"
add_file -vhdl -lib work "../../src/pointer_array.vhd"
add_file -vhdl -lib work "../../src/buffer_counter_write_9bits.vhd"
add_file -vhdl -lib work "../../src/buffer_counter_read_9bits.vhd"
add_file -vhdl -lib work "../../src/crc_unit_c_32.vhd"
add_file -vhdl -lib work "../../src/crc_unit_d_32.vhd"
add_file -vhdl -lib work "../../src/cam_bit_first.vhd"
add_file -vhdl -lib work "../../src/input_counter_9bits.vhd"
add_file -vhdl -lib work "../../src/cam_byte_first.vhd"
add_file -vhdl -lib work "../../src/cam_bit.vhd"
add_file -vhdl -lib work "../../src/cam_byte.vhd"
add_file -vhdl -lib work "../../src/cam_word_first.vhd"
add_file -vhdl -lib work "../../src/cam_word_zero.vhd"
add_file -vhdl -lib work "../../src/cam_array_zero.vhd"
add_file -vhdl -lib work "../../src/lc_assembler.vhd"
add_file -vhdl -lib work "../../src/mc_mux_3d.vhd"
add_file -vhdl -lib work "../../src/mc_mux_3c.vhd"
add_file -vhdl -lib work "../../src/mg_logic_2.vhd"
add_file -vhdl -lib work "../../src/mld_decode.vhd"
add_file -vhdl -lib work "../../src/mld_dprop_5.vhd"
add_file -vhdl -lib work "../../src/ob_assem.vhd"
add_file -vhdl -lib work "../../src/PIPELINE_R1_D.vhd"
add_file -vhdl -lib work "../../src/shift_literal.vhd"
add_file -vhdl -lib work "../../src/oda_cell_2_d.vhd"
add_file -vhdl -lib work "../../src/oda_cell_2_d_1.vhd"
add_file -vhdl -lib work "../../src/oda_cell_2.vhd"
add_file -vhdl -lib work "../../src/oda_register_d.vhd"
add_file -vhdl -lib work "../../src/oda_register.vhd"
add_file -vhdl -lib work "../../src/PIPELINE_R2_D.vhd"
add_file -vhdl -lib work "../../src/rli_counter_d.vhd"
add_file -vhdl -lib work "../../src/rli_counter_c.vhd"
add_file -vhdl -lib work "../../src/RLI_DR.vhd"
add_file -vhdl -lib work "../../src/RLI_DCU.vhd"
add_file -vhdl -lib work "../../src/mt_coder.vhd"
add_file -vhdl -lib work "../../src/ob_assembler.vhd"
add_file -vhdl -lib work "../../src/ov_latch.vhd"
add_file -vhdl -lib work "../../src/pc_generate.vhd"
add_file -vhdl -lib work "../../src/nfl_counters2.vhd"
add_file -vhdl -lib work "../../src/mld_dprop.vhd"
add_file -vhdl -lib work "../../src/mld_logic_3_1_2.vhd"
add_file -vhdl -lib work "../../src/mld_logic_3_2_2.vhd"
add_file -vhdl -lib work "../../src/cm_assembler.vhd"
add_file -vhdl -lib work "../../src/cml_assembler.vhd"
add_file -vhdl -lib work "../../src/csm_c_2.vhd"
add_file -vhdl -lib work "../../src/csm_d.vhd"
add_file -vhdl -lib work "../../src/latch98.vhd"
add_file -vhdl -lib work "../../src/PIPELINE_R0.vhd"
add_file -vhdl -lib work "../../src/PIPELINE_R1.vhd"
add_file -vhdl -lib work "../../src/PIPELINE_R4.vhd"
add_file -vhdl -lib work "../../src/ff_v3_delay.vhd"
add_file -vhdl -lib work "../../src/bsl_tc_2_c.vhd"
add_file -vhdl -lib work "../../src/bsl_tc_2_d.vhd"
add_file -vhdl -lib work "../../src/c_bs_counter_c.vhd"
add_file -vhdl -lib work "../../src/c_bs_counter_d.vhd"
add_file -vhdl -lib work "../../src/encode16_4.vhd"
add_file -vhdl -lib work "../../src/CODING_BUFFER_CU.vhd"
add_file -vhdl -lib work "../../src/decode_logic_pbc.vhd"
add_file -vhdl -lib work "../../src/sreg.vhd"
add_file -vhdl -lib work "../../src/count_delay.vhd"
add_file -vhdl -lib work "../../src/rli_cr.vhd"
add_file -vhdl -lib work "../../src/rli_ccu.vhd"
add_file -vhdl -lib work "../../src/rli_coding_logic.vhd"
add_file -vhdl -lib work "../../src/level2_4d_pbc.vhd"
add_file -vhdl -lib work "../../src/level2_4ca.vhd"
add_file -vhdl -lib work "../../src/DECODING_BUFFER_CU_2.vhd"
add_file -vhdl -lib work "../../src/BUFFER_COUNTER_READ.vhd"
add_file -vhdl -lib work "../../src/BUFFER_COUNTER_WRITE.vhd"
add_file -vhdl -lib work "../../src/DECODING_BUFFER_32_64_2.vhd"
add_file -vhdl -lib work "../../src/CODING_BUFFER_64_32.vhd"
add_file -vhdl -lib work "../../src/control_reg.vhd"
add_file -vhdl -lib work "../../src/reg_file_c.vhd"
add_file -vhdl -lib work "../../src/reg_file_d.vhd"
add_file -vhdl -lib work "../../src/parser.vhd"
add_file -vhdl -lib work "../../src/parser_register.vhd"
add_file -vhdl -lib work "../../src/parser_concatenator.vhd"
add_file -vhdl -lib work "../../src/parsing_unit.vhd"
add_file -vhdl -lib work "../../src/input_counter.vhd"
add_file -vhdl -lib work "../../src/input_buffer_cu.vhd"
add_file -vhdl -lib work "../../src/input_buffer_32_32.vhd"
add_file -vhdl -lib work "../../src/assembler.vhd"
add_file -vhdl -lib work "../../src/assembler_register.vhd"
add_file -vhdl -lib work "../../src/assembling_unit.vhd"
add_file -vhdl -lib work "../../src/output_buffer_cu.vhd"
add_file -vhdl -lib work "../../src/output_buffer_32_32.vhd"
add_file -vhdl -lib work "../../src/crc_unit_c.vhd"
add_file -vhdl -lib work "../../src/crc_unit_d.vhd"
add_file -vhdl -lib work "../../src/level1rc.vhd"
add_file -vhdl -lib work "../../src/level1rd.vhd"
add_file -vhdl -lib work "../../src/level1r.vhd"
add_file -vhdl -lib work "../../src/tb_level1cr.vhd"


#implementation: "rev_1"
impl -add rev_1 -type fpga

#device options
set_option -technology VIRTEX5
set_option -part XC5VLX110T
set_option -package FF1136
set_option -speed_grade -1
set_option -part_companion ""

#compilation/mapping options
set_option -default_enum_encoding default
set_option -resource_sharing 1
set_option -use_fsm_explorer 0
set_option -top_module "level1r"

#map options
set_option -frequency 140.000
set_option -vendor_xcompatible_mode 0
set_option -vendor_xcompatible_mode 0
set_option -run_prop_extract 1
set_option -fanout_limit 10000
set_option -disable_io_insertion 0
set_option -pipe 1
set_option -update_models_cp 0
set_option -enable_prepacking 0
set_option -verification_mode 0
set_option -retiming 0
set_option -no_sequential_opt 0
set_option -fixgatedclocks 3
set_option -fixgeneratedclocks 3


#sequential_optimizations options
set_option -symbolic_fsm_compiler 1

#simulation options
set_option -write_verilog 0
set_option -write_vhdl 0

#VIF options
set_option -write_vif 1

#automatic place and route (vendor) options
set_option -write_apr_constraint 1

#set result format/file last
project -result_file "./rev_1/level1r.edf"

#
#implementation attributes

set_option -vlog_std v2001
set_option -synthesis_onoff_pragma 0
set_option -project_relative_includes 1

#par_1 attributes
set_option -job par_1 -add par
set_option -job par_1 -option run_backannotation 0
impl -active "rev_1"

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.