OpenCores
URL https://opencores.org/ocsvn/4-bit-system/4-bit-system/trunk

Subversion Repositories 4-bit-system

[/] [4-bit-system/] [trunk/] [mux4to1.bdf] - Rev 2

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2012 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
        (input)
        (rect 16 104 184 120)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "select0" (rect 5 0 41 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 16 120 184 136)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "select1" (rect 5 0 41 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 232 200 248)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in0" (rect 5 0 20 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 280 200 296)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in1" (rect 5 0 20 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 320 200 336)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in2" (rect 5 0 20 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 360 200 376)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in3" (rect 5 0 20 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (output)
        (rect 656 328 832 344)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "out" (rect 90 0 105 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
)
(symbol
        (rect 232 80 352 208)
        (text "decoder2to4" (rect 5 0 76 14)(font "Arial" (font_size 8)))
        (text "inst" (rect 8 112 25 124)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "select0" (rect 0 0 41 14)(font "Arial" (font_size 8)))
                (text "select0" (rect 21 27 62 41)(font "Arial" (font_size 8)))
                (line (pt 0 32)(pt 16 32))
        )
        (port
                (pt 0 48)
                (input)
                (text "select1" (rect 0 0 41 14)(font "Arial" (font_size 8)))
                (text "select1" (rect 21 43 62 57)(font "Arial" (font_size 8)))
                (line (pt 0 48)(pt 16 48))
        )
        (port
                (pt 120 32)
                (output)
                (text "out0" (rect 0 0 24 14)(font "Arial" (font_size 8)))
                (text "out0" (rect 75 27 99 41)(font "Arial" (font_size 8)))
                (line (pt 120 32)(pt 104 32))
        )
        (port
                (pt 120 48)
                (output)
                (text "out1" (rect 0 0 24 14)(font "Arial" (font_size 8)))
                (text "out1" (rect 75 43 99 57)(font "Arial" (font_size 8)))
                (line (pt 120 48)(pt 104 48))
        )
        (port
                (pt 120 64)
                (output)
                (text "out2" (rect 0 0 24 14)(font "Arial" (font_size 8)))
                (text "out2" (rect 75 59 99 73)(font "Arial" (font_size 8)))
                (line (pt 120 64)(pt 104 64))
        )
        (port
                (pt 120 80)
                (output)
                (text "out3" (rect 0 0 24 14)(font "Arial" (font_size 8)))
                (text "out3" (rect 75 75 99 89)(font "Arial" (font_size 8)))
                (line (pt 120 80)(pt 104 80))
        )
        (drawing
                (rectangle (rect 16 16 104 112))
        )
)
(symbol
        (rect 584 296 648 376)
        (text "OR4" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst2" (rect 3 69 26 81)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 13 16))
        )
        (port
                (pt 0 48)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (line (pt 0 48)(pt 15 48))
        )
        (port
                (pt 0 64)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (line (pt 0 64)(pt 13 64))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 15 32))
        )
        (port
                (pt 64 40)
                (output)
                (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible))
                (line (pt 48 40)(pt 64 40))
        )
        (drawing
                (line (pt 13 67)(pt 13 51))
                (line (pt 25 52)(pt 13 52))
                (line (pt 13 12)(pt 13 29))
                (line (pt 13 29)(pt 25 29))
                (arc (pt 7 45)(pt 7 35)(rect -14 24 19 57))
                (arc (pt 49 40)(pt 25 29)(rect -6 29 57 92))
                (arc (pt 25 51)(pt 49 40)(rect -6 -11 57 52))
        )
)
(symbol
        (rect 472 392 536 440)
        (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "inst3" (rect 3 37 26 49)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 64 24)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (line (pt 42 24)(pt 64 24))
        )
        (drawing
                (line (pt 14 12)(pt 30 12))
                (line (pt 14 37)(pt 31 37))
                (line (pt 14 12)(pt 14 37))
                (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
        )
)
(symbol
        (rect 472 344 536 392)
        (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "inst4" (rect 3 37 26 49)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 64 24)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (line (pt 42 24)(pt 64 24))
        )
        (drawing
                (line (pt 14 12)(pt 30 12))
                (line (pt 14 37)(pt 31 37))
                (line (pt 14 12)(pt 14 37))
                (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
        )
)
(symbol
        (rect 472 296 536 344)
        (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "inst5" (rect 3 37 26 49)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 64 24)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (line (pt 42 24)(pt 64 24))
        )
        (drawing
                (line (pt 14 12)(pt 30 12))
                (line (pt 14 37)(pt 31 37))
                (line (pt 14 12)(pt 14 37))
                (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
        )
)
(symbol
        (rect 472 248 536 296)
        (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "inst6" (rect 3 37 26 49)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 0 32)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (line (pt 0 32)(pt 14 32))
        )
        (port
                (pt 64 24)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (line (pt 42 24)(pt 64 24))
        )
        (drawing
                (line (pt 14 12)(pt 30 12))
                (line (pt 14 37)(pt 31 37))
                (line (pt 14 12)(pt 14 37))
                (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37))
        )
)
(connector
        (pt 528 416)
        (pt 536 416)
)
(connector
        (pt 536 416)
        (pt 568 416)
)
(connector
        (pt 568 416)
        (pt 568 360)
)
(connector
        (pt 568 360)
        (pt 584 360)
)
(connector
        (pt 528 368)
        (pt 536 368)
)
(connector
        (pt 536 368)
        (pt 552 368)
)
(connector
        (pt 552 368)
        (pt 552 344)
)
(connector
        (pt 552 344)
        (pt 584 344)
)
(connector
        (pt 536 320)
        (pt 552 320)
)
(connector
        (pt 552 320)
        (pt 552 328)
)
(connector
        (pt 552 328)
        (pt 584 328)
)
(connector
        (pt 528 272)
        (pt 536 272)
)
(connector
        (pt 536 272)
        (pt 576 272)
)
(connector
        (pt 576 272)
        (pt 576 312)
)
(connector
        (pt 576 312)
        (pt 584 312)
)
(connector
        (pt 480 264)
        (pt 472 264)
)
(connector
        (pt 472 264)
        (pt 224 264)
)
(connector
        (pt 224 240)
        (pt 224 264)
)
(connector
        (pt 224 264)
        (pt 224 272)
)
(connector
        (pt 224 240)
        (pt 200 240)
)
(connector
        (pt 480 280)
        (pt 472 280)
)
(connector
        (pt 472 280)
        (pt 400 280)
)
(connector
        (pt 400 280)
        (pt 400 112)
)
(connector
        (pt 400 112)
        (pt 352 112)
)
(connector
        (pt 480 312)
        (pt 472 312)
)
(connector
        (pt 472 312)
        (pt 224 312)
)
(connector
        (pt 224 288)
        (pt 224 312)
)
(connector
        (pt 224 312)
        (pt 224 320)
)
(connector
        (pt 224 288)
        (pt 200 288)
)
(connector
        (pt 480 360)
        (pt 472 360)
)
(connector
        (pt 472 360)
        (pt 208 360)
)
(connector
        (pt 208 328)
        (pt 208 360)
)
(connector
        (pt 208 328)
        (pt 200 328)
)
(connector
        (pt 480 408)
        (pt 472 408)
)
(connector
        (pt 472 408)
        (pt 216 408)
)
(connector
        (pt 216 408)
        (pt 216 368)
)
(connector
        (pt 216 368)
        (pt 200 368)
)
(connector
        (pt 648 336)
        (pt 656 336)
)
(connector
        (pt 656 336)
        (pt 664 336)
)
(connector
        (pt 480 328)
        (pt 472 328)
)
(connector
        (pt 472 328)
        (pt 392 328)
)
(connector
        (pt 392 128)
        (pt 392 328)
)
(connector
        (pt 344 128)
        (pt 352 128)
)
(connector
        (pt 352 128)
        (pt 392 128)
)
(connector
        (pt 480 376)
        (pt 472 376)
)
(connector
        (pt 472 376)
        (pt 376 376)
)
(connector
        (pt 376 144)
        (pt 376 376)
)
(connector
        (pt 376 144)
        (pt 352 144)
)
(connector
        (pt 480 424)
        (pt 472 424)
)
(connector
        (pt 472 424)
        (pt 360 424)
)
(connector
        (pt 360 424)
        (pt 360 160)
)
(connector
        (pt 360 160)
        (pt 352 160)
)
(connector
        (pt 184 112)
        (pt 232 112)
)
(connector
        (pt 176 128)
        (pt 184 128)
)
(connector
        (pt 184 128)
        (pt 232 128)
)
(junction (pt 536 416))
(junction (pt 536 368))
(junction (pt 536 272))
(junction (pt 472 264))
(junction (pt 224 264))
(junction (pt 472 280))
(junction (pt 472 312))
(junction (pt 224 312))
(junction (pt 472 360))
(junction (pt 472 408))
(junction (pt 656 336))
(junction (pt 472 328))
(junction (pt 352 128))
(junction (pt 472 376))
(junction (pt 472 424))
(junction (pt 184 128))

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.