OpenCores
URL https://opencores.org/ocsvn/4-bit-system/4-bit-system/trunk

Subversion Repositories 4-bit-system

[/] [4-bit-system/] [trunk/] [system.bdf] - Rev 2

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2012 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
        (input)
        (rect 40 104 208 120)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "operation1" (rect 5 0 55 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -16 120 40 136))
)
(pin
        (input)
        (rect 48 160 216 176)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "operation0" (rect 5 0 55 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -8 176 48 192))
)
(pin
        (input)
        (rect 48 216 216 232)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "cin" (rect 5 0 19 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -16 232 48 248))
)
(pin
        (input)
        (rect 56 264 224 280)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in0" (rect 5 0 19 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect 0 280 56 296))
)
(pin
        (input)
        (rect 48 320 216 336)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in1" (rect 5 0 19 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -8 336 48 352))
)
(pin
        (input)
        (rect 40 360 208 376)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in2" (rect 5 0 19 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -16 376 40 392))
)
(pin
        (input)
        (rect 48 416 216 432)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "in3" (rect 5 0 19 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -16 432 48 448))
)
(pin
        (input)
        (rect 200 504 368 520)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "clock" (rect 5 0 30 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect 144 520 200 536))
)
(pin
        (output)
        (rect 584 96 760 112)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "result0" (rect 90 0 123 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 760 112 824 128))
)
(pin
        (output)
        (rect 592 216 768 232)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "result1" (rect 90 0 123 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 768 232 832 248))
)
(pin
        (output)
        (rect 600 272 776 288)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "result2" (rect 90 0 123 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 776 288 840 304))
)
(pin
        (output)
        (rect 608 376 784 392)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "result3" (rect 90 0 123 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 784 392 840 408))
)
(pin
        (output)
        (rect 600 480 776 496)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "cout" (rect 90 0 111 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 776 496 832 512))
)
(pin
        (output)
        (rect 984 120 1160 136)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "reg0" (rect 90 0 111 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 1160 136 1224 152))
)
(pin
        (output)
        (rect 1008 232 1184 248)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "reg1" (rect 90 0 111 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 1184 248 1248 264))
)
(pin
        (output)
        (rect 992 344 1168 360)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "reg2" (rect 90 0 111 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 1168 360 1232 376))
)
(pin
        (output)
        (rect 1008 448 1184 464)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "reg3" (rect 90 0 111 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
        (annotation_block (location)(rect 1184 464 1248 480))
)
(symbol
        (rect 312 144 472 368)
        (text "alu4bit" (rect 5 0 41 14)(font "Arial" (font_size 8)))
        (text "inst" (rect 8 208 25 220)(font "Arial" ))
        (port
                (pt 0 32)
                (input)
                (text "operation1" (rect 0 0 60 14)(font "Arial" (font_size 8)))
                (text "operation1" (rect 21 27 81 41)(font "Arial" (font_size 8)))
                (line (pt 0 32)(pt 16 32))
        )
        (port
                (pt 0 48)
                (input)
                (text "operation0" (rect 0 0 60 14)(font "Arial" (font_size 8)))
                (text "operation0" (rect 21 43 81 57)(font "Arial" (font_size 8)))
                (line (pt 0 48)(pt 16 48))
        )
        (port
                (pt 0 64)
                (input)
                (text "a0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "a0" (rect 21 59 35 73)(font "Arial" (font_size 8)))
                (line (pt 0 64)(pt 16 64))
        )
        (port
                (pt 0 80)
                (input)
                (text "a1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "a1" (rect 21 75 35 89)(font "Arial" (font_size 8)))
                (line (pt 0 80)(pt 16 80))
        )
        (port
                (pt 0 96)
                (input)
                (text "a2" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "a2" (rect 21 91 35 105)(font "Arial" (font_size 8)))
                (line (pt 0 96)(pt 16 96))
        )
        (port
                (pt 0 112)
                (input)
                (text "a3" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "a3" (rect 21 107 35 121)(font "Arial" (font_size 8)))
                (line (pt 0 112)(pt 16 112))
        )
        (port
                (pt 0 128)
                (input)
                (text "b0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "b0" (rect 21 123 35 137)(font "Arial" (font_size 8)))
                (line (pt 0 128)(pt 16 128))
        )
        (port
                (pt 0 144)
                (input)
                (text "b1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "b1" (rect 21 139 35 153)(font "Arial" (font_size 8)))
                (line (pt 0 144)(pt 16 144))
        )
        (port
                (pt 0 160)
                (input)
                (text "b2" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "b2" (rect 21 155 35 169)(font "Arial" (font_size 8)))
                (line (pt 0 160)(pt 16 160))
        )
        (port
                (pt 0 176)
                (input)
                (text "b3" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "b3" (rect 21 171 35 185)(font "Arial" (font_size 8)))
                (line (pt 0 176)(pt 16 176))
        )
        (port
                (pt 0 192)
                (input)
                (text "cin" (rect 0 0 16 14)(font "Arial" (font_size 8)))
                (text "cin" (rect 21 187 37 201)(font "Arial" (font_size 8)))
                (line (pt 0 192)(pt 16 192))
        )
        (port
                (pt 160 32)
                (output)
                (text "result0" (rect 0 0 38 14)(font "Arial" (font_size 8)))
                (text "result0" (rect 101 27 139 41)(font "Arial" (font_size 8)))
                (line (pt 160 32)(pt 144 32))
        )
        (port
                (pt 160 48)
                (output)
                (text "result1" (rect 0 0 38 14)(font "Arial" (font_size 8)))
                (text "result1" (rect 101 43 139 57)(font "Arial" (font_size 8)))
                (line (pt 160 48)(pt 144 48))
        )
        (port
                (pt 160 64)
                (output)
                (text "result2" (rect 0 0 38 14)(font "Arial" (font_size 8)))
                (text "result2" (rect 101 59 139 73)(font "Arial" (font_size 8)))
                (line (pt 160 64)(pt 144 64))
        )
        (port
                (pt 160 80)
                (output)
                (text "result3" (rect 0 0 38 14)(font "Arial" (font_size 8)))
                (text "result3" (rect 101 75 139 89)(font "Arial" (font_size 8)))
                (line (pt 160 80)(pt 144 80))
        )
        (port
                (pt 160 96)
                (output)
                (text "carryout" (rect 0 0 48 14)(font "Arial" (font_size 8)))
                (text "carryout" (rect 91 91 139 105)(font "Arial" (font_size 8)))
                (line (pt 160 96)(pt 144 96))
        )
        (drawing
                (rectangle (rect 16 16 144 208))
        )
)
(symbol
        (rect 776 128 840 208)
        (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst2" (rect 3 68 26 80)(font "Arial" ))
        (port
                (pt 32 80)
                (input)
                (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
                (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
                (line (pt 32 80)(pt 32 76))
        )
        (port
                (pt 0 40)
                (input)
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (line (pt 0 40)(pt 12 40))
        )
        (port
                (pt 0 24)
                (input)
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (line (pt 0 24)(pt 12 24))
        )
        (port
                (pt 32 0)
                (input)
                (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
                (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
                (line (pt 32 4)(pt 32 0))
        )
        (port
                (pt 64 24)
                (output)
                (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
                (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
                (line (pt 52 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 12)(pt 52 12))
                (line (pt 12 68)(pt 52 68))
                (line (pt 52 68)(pt 52 12))
                (line (pt 12 68)(pt 12 12))
                (line (pt 19 40)(pt 12 47))
                (line (pt 12 32)(pt 20 40))
                (circle (rect 28 4 36 12))
                (circle (rect 28 68 36 76))
        )
)
(symbol
        (rect 784 224 848 304)
        (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst3" (rect 3 68 26 80)(font "Arial" ))
        (port
                (pt 32 80)
                (input)
                (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
                (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
                (line (pt 32 80)(pt 32 76))
        )
        (port
                (pt 0 40)
                (input)
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (line (pt 0 40)(pt 12 40))
        )
        (port
                (pt 0 24)
                (input)
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (line (pt 0 24)(pt 12 24))
        )
        (port
                (pt 32 0)
                (input)
                (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
                (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
                (line (pt 32 4)(pt 32 0))
        )
        (port
                (pt 64 24)
                (output)
                (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
                (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
                (line (pt 52 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 12)(pt 52 12))
                (line (pt 12 68)(pt 52 68))
                (line (pt 52 68)(pt 52 12))
                (line (pt 12 68)(pt 12 12))
                (line (pt 19 40)(pt 12 47))
                (line (pt 12 32)(pt 20 40))
                (circle (rect 28 4 36 12))
                (circle (rect 28 68 36 76))
        )
)
(symbol
        (rect 784 336 848 416)
        (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst4" (rect 3 68 26 80)(font "Arial" ))
        (port
                (pt 32 80)
                (input)
                (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
                (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
                (line (pt 32 80)(pt 32 76))
        )
        (port
                (pt 0 40)
                (input)
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (line (pt 0 40)(pt 12 40))
        )
        (port
                (pt 0 24)
                (input)
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (line (pt 0 24)(pt 12 24))
        )
        (port
                (pt 32 0)
                (input)
                (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
                (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
                (line (pt 32 4)(pt 32 0))
        )
        (port
                (pt 64 24)
                (output)
                (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
                (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
                (line (pt 52 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 12)(pt 52 12))
                (line (pt 12 68)(pt 52 68))
                (line (pt 52 68)(pt 52 12))
                (line (pt 12 68)(pt 12 12))
                (line (pt 19 40)(pt 12 47))
                (line (pt 12 32)(pt 20 40))
                (circle (rect 28 4 36 12))
                (circle (rect 28 68 36 76))
        )
)
(symbol
        (rect 776 440 840 520)
        (text "DFF" (rect 1 0 19 10)(font "Arial" (font_size 6)))
        (text "inst5" (rect 3 68 26 80)(font "Arial" ))
        (port
                (pt 32 80)
                (input)
                (text "CLRN" (rect 21 59 44 71)(font "Courier New" (bold)))
                (text "CLRN" (rect 21 58 44 70)(font "Courier New" (bold)))
                (line (pt 32 80)(pt 32 76))
        )
        (port
                (pt 0 40)
                (input)
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (text "CLK" (rect 3 29 20 41)(font "Courier New" (bold))(invisible))
                (line (pt 0 40)(pt 12 40))
        )
        (port
                (pt 0 24)
                (input)
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (text "D" (rect 14 20 19 32)(font "Courier New" (bold)))
                (line (pt 0 24)(pt 12 24))
        )
        (port
                (pt 32 0)
                (input)
                (text "PRN" (rect 24 13 41 25)(font "Courier New" (bold)))
                (text "PRN" (rect 24 11 41 23)(font "Courier New" (bold)))
                (line (pt 32 4)(pt 32 0))
        )
        (port
                (pt 64 24)
                (output)
                (text "Q" (rect 45 20 50 32)(font "Courier New" (bold)))
                (text "Q" (rect 43 20 48 32)(font "Courier New" (bold)))
                (line (pt 52 24)(pt 64 24))
        )
        (drawing
                (line (pt 12 12)(pt 52 12))
                (line (pt 12 68)(pt 52 68))
                (line (pt 52 68)(pt 52 12))
                (line (pt 12 68)(pt 12 12))
                (line (pt 19 40)(pt 12 47))
                (line (pt 12 32)(pt 20 40))
                (circle (rect 28 4 36 12))
                (circle (rect 28 68 36 76))
        )
)
(symbol
        (rect 888 96 920 112)
        (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6)))
        (text "inst6" (rect 3 5 26 17)(font "Arial" )(invisible))
        (port
                (pt 16 16)
                (output)
                (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
                (text "1" (rect 19 7 24 19)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 16 8))
        )
        (drawing
                (line (pt 8 8)(pt 24 8))
        )
)
(connector
        (pt 1008 240)
        (pt 1024 240)
)
(connector
        (pt 608 488)
        (pt 600 488)
)
(connector
        (pt 600 488)
        (pt 488 488)
)
(connector
        (pt 488 240)
        (pt 488 488)
)
(connector
        (pt 472 240)
        (pt 488 240)
)
(connector
        (pt 488 240)
        (pt 496 240)
)
(connector
        (pt 504 384)
        (pt 504 224)
)
(connector
        (pt 472 224)
        (pt 504 224)
)
(connector
        (pt 504 224)
        (pt 512 224)
)
(connector
        (pt 528 216)
        (pt 528 280)
)
(connector
        (pt 528 280)
        (pt 528 288)
)
(connector
        (pt 528 216)
        (pt 472 216)
)
(connector
        (pt 472 216)
        (pt 472 208)
)
(connector
        (pt 544 224)
        (pt 544 192)
)
(connector
        (pt 544 192)
        (pt 472 192)
)
(connector
        (pt 472 192)
        (pt 472 200)
)
(connector
        (pt 496 104)
        (pt 496 176)
)
(connector
        (pt 496 176)
        (pt 472 176)
)
(connector
        (pt 312 176)
        (pt 256 176)
)
(connector
        (pt 256 176)
        (pt 256 112)
)
(connector
        (pt 256 112)
        (pt 208 112)
)
(connector
        (pt 320 192)
        (pt 312 192)
)
(connector
        (pt 312 192)
        (pt 232 192)
)
(connector
        (pt 232 168)
        (pt 232 192)
)
(connector
        (pt 232 168)
        (pt 216 168)
)
(connector
        (pt 312 320)
        (pt 288 320)
)
(connector
        (pt 288 320)
        (pt 288 424)
)
(connector
        (pt 288 424)
        (pt 216 424)
)
(connector
        (pt 312 304)
        (pt 272 304)
)
(connector
        (pt 272 304)
        (pt 272 368)
)
(connector
        (pt 272 368)
        (pt 208 368)
)
(connector
        (pt 320 288)
        (pt 312 288)
)
(connector
        (pt 312 288)
        (pt 248 288)
)
(connector
        (pt 248 288)
        (pt 248 328)
)
(connector
        (pt 248 328)
        (pt 216 328)
)
(connector
        (pt 312 272)
        (pt 224 272)
)
(connector
        (pt 320 336)
        (pt 312 336)
)
(connector
        (pt 312 336)
        (pt 256 336)
)
(connector
        (pt 256 224)
        (pt 256 336)
)
(connector
        (pt 256 224)
        (pt 216 224)
)
(connector
        (pt 320 208)
        (pt 312 208)
)
(connector
        (pt 312 208)
        (pt 304 208)
)
(connector
        (pt 304 208)
        (pt 304 88)
)
(connector
        (pt 304 88)
        (pt 928 88)
)
(connector
        (pt 928 128)
        (pt 984 128)
)
(connector
        (pt 296 224)
        (pt 312 224)
)
(connector
        (pt 312 224)
        (pt 320 224)
)
(connector
        (pt 296 224)
        (pt 296 80)
)
(connector
        (pt 296 80)
        (pt 944 80)
)
(connector
        (pt 944 240)
        (pt 1008 240)
)
(connector
        (pt 288 240)
        (pt 312 240)
)
(connector
        (pt 312 240)
        (pt 320 240)
)
(connector
        (pt 288 240)
        (pt 288 72)
)
(connector
        (pt 288 72)
        (pt 952 72)
)
(connector
        (pt 320 256)
        (pt 312 256)
)
(connector
        (pt 312 256)
        (pt 280 256)
)
(connector
        (pt 968 456)
        (pt 1008 456)
)
(connector
        (pt 576 224)
        (pt 576 248)
)
(connector
        (pt 944 248)
        (pt 848 248)
)
(connector
        (pt 544 224)
        (pt 576 224)
)
(connector
        (pt 576 224)
        (pt 592 224)
)
(connector
        (pt 944 80)
        (pt 944 240)
)
(connector
        (pt 944 240)
        (pt 944 248)
)
(connector
        (pt 576 248)
        (pt 784 248)
)
(connector
        (pt 784 248)
        (pt 792 248)
)
(connector
        (pt 816 224)
        (pt 816 232)
)
(connector
        (pt 816 424)
        (pt 816 416)
)
(connector
        (pt 584 360)
        (pt 784 360)
)
(connector
        (pt 584 280)
        (pt 584 360)
)
(connector
        (pt 952 360)
        (pt 848 360)
)
(connector
        (pt 528 280)
        (pt 584 280)
)
(connector
        (pt 584 280)
        (pt 600 280)
)
(connector
        (pt 584 464)
        (pt 776 464)
)
(connector
        (pt 584 384)
        (pt 584 464)
)
(connector
        (pt 968 464)
        (pt 840 464)
)
(connector
        (pt 904 520)
        (pt 808 520)
)
(connector
        (pt 816 424)
        (pt 808 424)
)
(connector
        (pt 504 384)
        (pt 584 384)
)
(connector
        (pt 584 384)
        (pt 608 384)
)
(connector
        (pt 808 440)
        (pt 808 448)
)
(connector
        (pt 568 104)
        (pt 568 152)
)
(connector
        (pt 928 152)
        (pt 840 152)
)
(connector
        (pt 816 208)
        (pt 808 208)
)
(connector
        (pt 496 104)
        (pt 568 104)
)
(connector
        (pt 568 104)
        (pt 584 104)
)
(connector
        (pt 928 88)
        (pt 928 128)
)
(connector
        (pt 928 128)
        (pt 928 152)
)
(connector
        (pt 568 152)
        (pt 776 152)
)
(connector
        (pt 776 152)
        (pt 784 152)
)
(connector
        (pt 904 120)
        (pt 808 120)
)
(connector
        (pt 808 128)
        (pt 808 120)
)
(connector
        (pt 816 216)
        (pt 904 216)
)
(connector
        (pt 816 208)
        (pt 816 216)
)
(connector
        (pt 816 216)
        (pt 816 224)
)
(connector
        (pt 816 320)
        (pt 904 320)
)
(connector
        (pt 816 304)
        (pt 816 320)
)
(connector
        (pt 816 320)
        (pt 816 336)
)
(connector
        (pt 904 320)
        (pt 904 216)
)
(connector
        (pt 808 432)
        (pt 904 432)
)
(connector
        (pt 808 424)
        (pt 808 432)
)
(connector
        (pt 808 432)
        (pt 808 440)
)
(connector
        (pt 904 520)
        (pt 904 432)
)
(connector
        (pt 904 432)
        (pt 904 320)
)
(connector
        (pt 904 112)
        (pt 904 120)
)
(connector
        (pt 904 120)
        (pt 904 216)
)
(connector
        (pt 784 168)
        (pt 776 168)
)
(connector
        (pt 776 168)
        (pt 760 168)
)
(connector
        (pt 776 480)
        (pt 760 480)
)
(connector
        (pt 792 376)
        (pt 784 376)
)
(connector
        (pt 784 376)
        (pt 760 376)
)
(connector
        (pt 784 264)
        (pt 760 264)
)
(connector
        (pt 760 168)
        (pt 760 264)
)
(connector
        (pt 760 264)
        (pt 760 376)
)
(connector
        (pt 280 256)
        (pt 280 56)
)
(connector
        (pt 280 56)
        (pt 968 56)
)
(connector
        (pt 968 56)
        (pt 968 456)
)
(connector
        (pt 968 456)
        (pt 968 464)
)
(connector
        (pt 760 512)
        (pt 368 512)
)
(connector
        (pt 760 376)
        (pt 760 480)
)
(connector
        (pt 760 480)
        (pt 760 512)
)
(connector
        (pt 952 72)
        (pt 952 352)
)
(connector
        (pt 952 352)
        (pt 952 360)
)
(connector
        (pt 952 352)
        (pt 992 352)
)
(connector
        (pt 992 352)
        (pt 1000 352)
)
(junction (pt 1008 240))
(junction (pt 600 488))
(junction (pt 488 240))
(junction (pt 584 384))
(junction (pt 504 224))
(junction (pt 584 280))
(junction (pt 528 280))
(junction (pt 576 224))
(junction (pt 472 192))
(junction (pt 568 104))
(junction (pt 312 192))
(junction (pt 312 288))
(junction (pt 312 336))
(junction (pt 312 208))
(junction (pt 928 128))
(junction (pt 312 224))
(junction (pt 944 240))
(junction (pt 312 240))
(junction (pt 312 256))
(junction (pt 968 456))
(junction (pt 784 248))
(junction (pt 816 224))
(junction (pt 808 440))
(junction (pt 776 152))
(junction (pt 816 216))
(junction (pt 904 216))
(junction (pt 816 320))
(junction (pt 904 320))
(junction (pt 808 432))
(junction (pt 904 432))
(junction (pt 904 120))
(junction (pt 776 168))
(junction (pt 760 480))
(junction (pt 760 376))
(junction (pt 784 376))
(junction (pt 760 264))
(junction (pt 952 352))
(junction (pt 992 352))

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.