OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [perl_gui/] [lib/] [verilog/] [functions.v] - Rev 42

Go to most recent revision | Compare with Previous | Blame | View Log

 
	function integer log2;
		input integer number; begin	
        	log2=0;	
        	while(2**log2<number) begin	
      		  	log2=log2+1;	
       		end	
      		end	
   	endfunction // log2 
 
   	function   [15:0]i2s;   
        input   integer c;  integer i;  integer tmp; begin 
            tmp =0; 
            for (i=0; i<2; i=i+1) begin 
            tmp =  tmp +    (((c % 10)   + 48) << i*8); 
                c       =   c/10; 
            end 
            i2s = tmp[15:0];
        end     
   endfunction //i2s
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.