OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_env_config.sv] - Rev 15

Compare with Previous | Blame | View Log


class apb_env_config extends uvm_object;
        `uvm_object_utils(apb_env_config)

function new(string name);
        super.new(name);
endfunction

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.