OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_if.sv] - Rev 15

Compare with Previous | Blame | View Log


interface apb_if;
 // APB SLAVE PORT INTERFACE 
 logic                         PCLK;
 logic                         PRESETn;
 logic [`APB_ADDR_WIDTH-1:0 ]  PADDR;
 logic                         PWRITE;
 logic [`NUM_SLV-1:0]          PSEL;
 logic                         PENABLE;
 logic [`APB_DATA_WIDTH-1:0 ]  PWDATA;
 logic [`APB_DATA_WIDTH-1:0 ]  PRDATA;
 logic                         PREADY;
 logic                         TrFr;
endinterface

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.