OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_intf.sv] - Rev 15

Compare with Previous | Blame | View Log


interface apb_intf;
                logic [3:0] paddr;
                logic pwrite;
                logic [1:0] psel;
                logic penable;
                wire  pready;
                logic [31:0] pwdata;
                wire  [31:0] prdata;
                logic pclk;
                logic presetn;

endinterface

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.