OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [apb_agent/] [apb_seqr.sv] - Rev 15

Compare with Previous | Blame | View Log


typedef uvm_sequencer #(apb_seq_item) apb_seqr;
/*class apb_seqr extends uvm_sequencer#(apb_seq_item);
        `uvm_component_utils(apb_seqr)

function new(string name,uvm_component parent);
        super.new(name,parent);
endfunction



endclass
*/

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.