OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [env/] [spi_agent/] [spi_seq_item.sv] - Rev 15

Compare with Previous | Blame | View Log


class spi_seq_item extends uvm_sequence_item;

        `uvm_object_utils(spi_seq_item)

                bit [`SPI_REG_WIDTH-1:0] wdata;
                bit [`SPI_REG_WIDTH-1:0] rdata;
                bit [`SPI_REG_WIDTH-1:0] ss0_data;

        function new(string name="");
                super.new(name);
        endfunction


endclass

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.