OpenCores
URL https://opencores.org/ocsvn/apb2spi/apb2spi/trunk

Subversion Repositories apb2spi

[/] [apb2spi/] [trunk/] [tb/] [prj_pkg.sv] - Rev 21

Compare with Previous | Blame | View Log


`include "defines.v"
`include "spi_if.sv"
`include "apb_if.sv"
`include "uvm_macros.svh"

package prj_pkg;

        import uvm_pkg::*;
        `include "apb_seq_item.sv"
        `include "apb_monitor.sv"
        `include "apb_driver.sv"
        `include "apb_seqr.sv"
        
endpackage

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.