OpenCores
URL https://opencores.org/ocsvn/avuc/avuc/trunk

Subversion Repositories avuc

[/] [avuc/] [trunk/] [avuc_pkg.vhd] - Rev 7

Compare with Previous | Blame | View Log

---------------------------------------------------------------------------------------
-- Copyright 2008 by Fernando Blanco <ferblanco@anagramix.com>
-- Description: Package for AVUC
---------------------------------------------------------------------------------------
 
library ieee;
use ieee.std_logic_1164.all;
 
package avuc_pkg is
 
    -- Possible states for avuc:
    constant AVUC_STATE_RUNNING  : std_logic := '0'; 
    constant AVUC_STATE_STOPPED  : std_logic := '1'; 
 
end package avuc_pkg;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.