OpenCores
URL https://opencores.org/ocsvn/blue/blue/trunk

Subversion Repositories blue

[/] [blue/] [trunk/] [blue8/] [intel.lst] - Rev 2

Compare with Previous | Blame | View Log

@ 100
8fb8    // (100) call print_msgcr
5573    // (101) ds "Use Escape to exit\xff"
6520    // (102)
4573    // (103)
6361    // (104)
7065    // (105)
2074    // (106)
6f20    // (107)
6578    // (108)
6974    // (109)
ff20    // (10a)
8fb2    // (10b) call crlf
611e    // (10c) lda #'?'
8fb5    // (10d) call printchar
6f64    // (10e) lda #' '
8fb5    // (10f) call printchar
8fde    // (110) call waitcharecho
5f53    // (111) cmp escape
001a    // (112) snz
aed1    // (113) jmp bluemon
0050    // (114) push
8fb2    // (115) call crlf
0040    // (116) pop
0050    // (117) push
8fb5    // (118) call printchar
611f    // (119) lda #'='
8fb5    // (11a) call printchar
0040    // (11b) pop
8fa2    // (11c) call hexout2
a10b    // (11d) jmp toploop
003f    // (11e)
003d    // (11f)
// Symbols
// hexct: 0f61
// _con_10: 0fdd
// printchar: 0fb5
// uart: 0ffe
// _con_57: 0f57
// hexzero: 0f56
// hexsep: 0f5f
// hextmp: 0f5d
// swreg: 0ff0
// cr: 0fdc
// cmdi: 0f51
// _con_255: 0fdb
// hextmp2: 0f5e
// escape: 0f53
// print_msg: 0fbe
// ff: 0fdb
// hexin4: 0f65
// print_msgx: 0fc3
// cmdg: 0f50
// hexout1: 0fa9
// waitcharecho: 0fde
// prompt: 0edc
// xmitwait: 0fd0
// colon: 0f52
// crlf: 0fb2
// icount: 0f63
// waitchar: 0fd6
// hexinct: 0f67
// numin: 0f5c
// bluemon: 0ed1
// hexnib: 0f5a
// _con_61: 011f
// hexout4: 0f70
// bs: 0f60
// ten: 0fdd
// limit: 0fed
// _con_13: 0fdc
// zero: 0f55
// _con_0: 0f56
// nine: 0f57
// mask3: 0f62
// hexout2: 0fa2
// bluemonbase: 0ed0
// toploop: 010b
// space: 0f64
// makelower: 0f54
// hexmask: 0f59
// _con_32: 0f64
// _con_15: 0f5a
// print_msgcr: 0fb8
// _con_63: 011e
// _con_48: 0f55
// _con_8: 0f60
// cmdd: 0f4f
// hexa: 0f58
// lf: 0fdd
// bits3: 0f5b
// End Symbols

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.