OpenCores
URL https://opencores.org/ocsvn/bustap-jtag/bustap-jtag/trunk

Subversion Repositories bustap-jtag

[/] [bustap-jtag/] [trunk/] [cmd/] [xilinx/] [chipscope_vio_console.bat] - Rev 25

Compare with Previous | Blame | View Log

echo %XILINX%
set path=%XILINX%\lib\nt;%XILINX%\bin\nt;%path%
wish chipscope_vio_console.tcl
pause

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.