OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [Altera/] [ip.hwp.cpu/] [nios_ii_sdram/] [2.0/] [hdl/] [components.ipx] - Rev 189

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<library>
 <!--  date: 2013.06.06.13:16:04 -->
 <!--  generated by: ip-make-ipx -->
 <!--   -->
 <!--     2 in ../../../../../TUT/ip.hwp.communication/hibi_pe_dma/ip/ -->
 <!--   -->
 
  <path
   path="../../../../../TUT/ip.swp.driver/hibi_pe_dma_fdev/1.0/src/**/*" />
   <path
   path="../../../../up_avalon_sram/**/*" />
</library>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.