OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [mjpeg_de2_soc/] [1.0/] [mjpeg_de2_soc.design.1.0.xml] - Rev 147

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 03.09.2012 -->
<!-- Time: 14:12:23 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
        <spirit:vendor>TUT</spirit:vendor>
        <spirit:library>soc</spirit:library>
        <spirit:name>mjpeg_de2_soc.design</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:componentInstances>
                <spirit:componentInstance>
                        <spirit:instanceName>pll_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.misc" spirit:name="pll" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="220"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk_25MHz">
                                                <kactus2:position x="-80" y="130"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clk_in">
                                                <kactus2:position x="80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_clk">
                                                <kactus2:position x="-80" y="50"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_clk">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="sdram_clk">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>dctqidct_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.accelerator" spirit:name="dctqidct" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="570"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="dct_if">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>udp2hibi_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp2hibi" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="400"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clk_udp">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="udp_ip_rx">
                                                <kactus2:position x="-80" y="120"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="udp_ip_tx">
                                                <kactus2:position x="80" y="100"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>nios_ii_sdram_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sdram" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="80" y="110"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="sdram_if">
                                                <kactus2:position x="80" y="90"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_segment_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_segment" spirit:version="3.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clocks_0">
                                                <kactus2:position x="80" y="190"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_1">
                                                <kactus2:position x="80" y="210"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_2">
                                                <kactus2:position x="80" y="230"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_3">
                                                <kactus2:position x="80" y="250"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_0">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_1">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_2">
                                                <kactus2:position x="-80" y="200"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_3">
                                                <kactus2:position x="80" y="420"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_0">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_1">
                                                <kactus2:position x="80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_2">
                                                <kactus2:position x="-80" y="220"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_3">
                                                <kactus2:position x="80" y="440"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="330"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>nios_ii_sram_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="Altera" spirit:library="ip.hwp.cpu" spirit:name="nios_ii_sram" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="130" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="130"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="sram_if">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>udp2hibi_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp2hibi" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="130" y="240"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clk_udp">
                                                <kactus2:position x="80" y="140"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="80" y="50"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="udp_ip_rx">
                                                <kactus2:position x="-80" y="140"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="udp_ip_tx">
                                                <kactus2:position x="-80" y="120"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>udp_ip_dm9000a_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="udp_ip_dm9000a" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="130" y="430"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="DM9000A">
                                                <kactus2:position x="80" y="140"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="app_rx">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="app_tx">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clk">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="80"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
        </spirit:componentInstances>
        <spirit:interconnections>
                <spirit:interconnection>
                        <spirit:name>nios_ii_sram_0_clk_to_pll_0_ip_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="clk"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sram_0" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>pll_0_ip_clk_to_nios_ii_sdram_1_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>pll_0_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_0"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_1"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_1"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_2</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_2"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_2</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_2"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_segment_0_clocks_2_to_pll_0_hibi_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_2"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_0_clk_udp_to_pll_0_clk_25MHz</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="clk_udp"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="clk_25MHz"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_0_clk_to_pll_0_ip_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="clk"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp_ip_dm9000a_0_app_tx_to_udp2hibi_0_udp_ip_tx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_0" spirit:busRef="app_tx"/>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="udp_ip_tx"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_0" spirit:busRef="app_rx"/>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_0" spirit:busRef="udp_ip_rx"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>pll_0_clk_25MHz_to_udp_ip_dm9000a_0_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="clk_25MHz"/>
                        <spirit:activeInterface spirit:componentRef="udp_ip_dm9000a_0" spirit:busRef="clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_1_clk_to_pll_0_ip_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_1" spirit:busRef="clk"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_segment_0_clocks_3_to_pll_0_hibi_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_3"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="hibi_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>dctqidct_0_clk_to_pll_0_ip_clk</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="dctqidct_0" spirit:busRef="clk"/>
                        <spirit:activeInterface spirit:componentRef="pll_0" spirit:busRef="ip_clk"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_1_hibi_slave_to_hibi_segment_0_ip_mSlave_3</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_1" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_3"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>udp2hibi_1_hibi_master_to_hibi_segment_0_ip_mMaster_3</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="udp2hibi_1" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_3"/>
                </spirit:interconnection>
        </spirit:interconnections>
        <spirit:hierConnections>
                <spirit:hierConnection spirit:interfaceRef="sram_if">
                        <spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="sram_if"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="70"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="20" y="140"/>
                                        <kactus2:position x="810" y="70"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="nios_ii_sram_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="20" y="190"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="sdram_if">
                        <spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="sdram_if"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="840" y="100"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="730" y="150"/>
                                        <kactus2:position x="820" y="150"/>
                                        <kactus2:position x="820" y="100"/>
                                        <kactus2:position x="840" y="100"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="sdram_clk">
                        <spirit:interface spirit:componentRef="pll_0" spirit:busRef="sdram_clk"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="840" y="260"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="730" y="260"/>
                                        <kactus2:position x="840" y="260"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_segment_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="500" y="390"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="nios_ii_sdram_1" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="760" y="130"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clk_in">
                        <spirit:interface spirit:componentRef="pll_0" spirit:busRef="clk_in"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="840" y="290"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="730" y="290"/>
                                        <kactus2:position x="840" y="290"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="udp2hibi_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="20" y="340"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="udp_ip_dm9000a_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="240" y="510"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="udp2hibi_1" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="760" y="460"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="DM9000A">
                        <spirit:interface spirit:componentRef="udp_ip_dm9000a_0" spirit:busRef="DM9000A"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="400"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="240" y="570"/>
                                        <kactus2:position x="810" y="400"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="dctqidct_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="810" y="130"/>
                                <kactus2:direction x="-1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="540" y="640"/>
                                        <kactus2:position x="810" y="130"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
        </spirit:hierConnections>
        <spirit:vendorExtensions>
                <kactus2:columnLayout>
                        <kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
                        <kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
                        <kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
                </kactus2:columnLayout>
                <kactus2:routes>
                        <kactus2:route kactus2:connRef="nios_ii_sram_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
                                <kactus2:position x="20" y="100"/>
                                <kactus2:position x="540" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_slave_to_hibi_segment_0_ip_mSlave_0" kactus2:offPage="false">
                                <kactus2:position x="210" y="120"/>
                                <kactus2:position x="310" y="120"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="nios_ii_sram_0_hibi_master_to_hibi_segment_0_ip_mMaster_0" kactus2:offPage="false">
                                <kactus2:position x="210" y="100"/>
                                <kactus2:position x="310" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="pll_0_ip_clk_to_nios_ii_sdram_1_clk" kactus2:offPage="true">
                                <kactus2:position x="540" y="290"/>
                                <kactus2:position x="760" y="170"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_1" kactus2:offPage="false">
                                <kactus2:position x="570" y="270"/>
                                <kactus2:position x="470" y="270"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="pll_0_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
                                <kactus2:position x="570" y="270"/>
                                <kactus2:position x="490" y="270"/>
                                <kactus2:position x="490" y="250"/>
                                <kactus2:position x="470" y="250"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
                                <kactus2:position x="570" y="140"/>
                                <kactus2:position x="470" y="140"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="nios_ii_sdram_1_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
                                <kactus2:position x="570" y="120"/>
                                <kactus2:position x="470" y="120"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_0_hibi_slave_to_hibi_segment_0_ip_mSlave_2" kactus2:offPage="false">
                                <kactus2:position x="210" y="310"/>
                                <kactus2:position x="290" y="310"/>
                                <kactus2:position x="290" y="280"/>
                                <kactus2:position x="310" y="280"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_0_hibi_master_to_hibi_segment_0_ip_mMaster_2" kactus2:offPage="false">
                                <kactus2:position x="210" y="290"/>
                                <kactus2:position x="290" y="290"/>
                                <kactus2:position x="290" y="260"/>
                                <kactus2:position x="310" y="260"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_segment_0_clocks_2_to_pll_0_hibi_clk" kactus2:offPage="false">
                                <kactus2:position x="470" y="290"/>
                                <kactus2:position x="490" y="290"/>
                                <kactus2:position x="490" y="270"/>
                                <kactus2:position x="570" y="270"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_0_clk_udp_to_pll_0_clk_25MHz" kactus2:offPage="false">
                                <kactus2:position x="210" y="380"/>
                                <kactus2:position x="550" y="380"/>
                                <kactus2:position x="550" y="350"/>
                                <kactus2:position x="570" y="350"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
                                <kactus2:position x="20" y="280"/>
                                <kactus2:position x="540" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_tx_to_udp2hibi_0_udp_ip_tx" kactus2:offPage="false">
                                <kactus2:position x="50" y="510"/>
                                <kactus2:position x="20" y="510"/>
                                <kactus2:position x="20" y="360"/>
                                <kactus2:position x="50" y="360"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp_ip_dm9000a_0_app_rx_to_udp2hibi_0_udp_ip_rx" kactus2:offPage="false">
                                <kactus2:position x="50" y="470"/>
                                <kactus2:position x="30" y="470"/>
                                <kactus2:position x="30" y="380"/>
                                <kactus2:position x="50" y="380"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="pll_0_clk_25MHz_to_udp_ip_dm9000a_0_clk" kactus2:offPage="false">
                                <kactus2:position x="570" y="350"/>
                                <kactus2:position x="250" y="350"/>
                                <kactus2:position x="250" y="470"/>
                                <kactus2:position x="210" y="470"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_1_clk_to_pll_0_ip_clk" kactus2:offPage="true">
                                <kactus2:position x="540" y="440"/>
                                <kactus2:position x="540" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_segment_0_clocks_3_to_pll_0_hibi_clk" kactus2:offPage="false">
                                <kactus2:position x="470" y="310"/>
                                <kactus2:position x="490" y="310"/>
                                <kactus2:position x="490" y="270"/>
                                <kactus2:position x="570" y="270"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="dctqidct_0_clk_to_pll_0_ip_clk" kactus2:offPage="true">
                                <kactus2:position x="540" y="610"/>
                                <kactus2:position x="540" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_1_hibi_slave_to_hibi_segment_0_ip_mSlave_3" kactus2:offPage="false">
                                <kactus2:position x="570" y="500"/>
                                <kactus2:position x="470" y="500"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="udp2hibi_1_hibi_master_to_hibi_segment_0_ip_mMaster_3" kactus2:offPage="false">
                                <kactus2:position x="570" y="480"/>
                                <kactus2:position x="470" y="480"/>
                        </kactus2:route>
                </kactus2:routes>
                <kactus2:adHocVisibilities/>
        </spirit:vendorExtensions>
</spirit:design>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.