OpenCores
URL https://opencores.org/ocsvn/i2s/i2s/trunk

Subversion Repositories i2s

[/] [i2s/] [web_uploads/] [dff.vhd] - Rev 6

Compare with Previous | Blame | View Log

LIBRARY ieee;
USE ieee.std_logic_1164.all; 
 
ENTITY dff IS 
	PORT
	(
		d, clk, clrn 	:  	IN STD_LOGIC;
		q 				:  	OUT STD_LOGIC
	);
 
END dff;
 
ARCHITECTURE a_dff OF dff IS 
 
 
BEGIN
 
	PROCESS (clk, clrn)
	BEGIN
		IF clrn = '0' THEN q <= '0';
		ELSIF clk'event and clk = '1' THEN q <= d;
		END IF;
	END PROCESS;
 
END a_dff;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.