OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [opencores/] [ac97_sin/] [_primary.vhd] - Rev 2

Compare with Previous | Blame | View Log

library verilog;
use verilog.vl_types.all;
entity ac97_sin is
    port(
        clk             : in     vl_logic;
        rst             : in     vl_logic;
        out_le          : in     vl_logic_vector(5 downto 0);
        slt0            : out    vl_logic_vector(15 downto 0);
        slt1            : out    vl_logic_vector(19 downto 0);
        slt2            : out    vl_logic_vector(19 downto 0);
        slt3            : out    vl_logic_vector(19 downto 0);
        slt4            : out    vl_logic_vector(19 downto 0);
        slt6            : out    vl_logic_vector(19 downto 0);
        sdata_in        : in     vl_logic
    );
end ac97_sin;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.