OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [pci/] [pci_target.in] - Rev 2

Compare with Previous | Blame | View Log

  if [ "$CONFIG_PCI_ACTEL"  != "y" ]; then
    bool        'PCI interface, target-only     '  CONFIG_PCI_SIMPLE_TARGET
  fi

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.